From f13138827feab2a6464478bdf1f8c3fe65ced76c Mon Sep 17 00:00:00 2001 From: simonkellet Date: Thu, 19 Oct 2023 17:23:04 +0100 Subject: [PATCH] task 6 in progress! --- Triangle.Compiler/.project | 7 +++ .../commands/LoopWhile.java | 41 ++++++++++++++++++ .../visitors/CommandVisitor.java | 3 ++ .../java/triangle/codeGenerator/Encoder.java | 7 +++ .../triangle/contextualAnalyzer/Checker.java | 7 +++ .../triangle/optimiser/ConstantFolder.java | 7 +++ .../java/triangle/optimiser/SummaryStats.java | 9 +++- .../triangle/syntacticAnalyzer/Parser.java | 24 ++++++++++ .../triangle/syntacticAnalyzer/Token.java | 12 ++--- .../triangle/treeDrawer/LayoutVisitor.java | 7 +++ build/libs/Triangle-Tools.jar | Bin 197355 -> 199017 bytes every.tri | Bin 0 -> 4992 bytes loopwhile.tam | Bin 0 -> 80 bytes programs/checkstats.tri | 10 +---- programs/loopwhile.tri | 16 +++++++ 15 files changed, 135 insertions(+), 15 deletions(-) create mode 100644 Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/commands/LoopWhile.java create mode 100644 every.tri create mode 100644 loopwhile.tam create mode 100644 programs/loopwhile.tri diff --git a/Triangle.Compiler/.project b/Triangle.Compiler/.project index dca5b8c..1dea2e3 100644 --- a/Triangle.Compiler/.project +++ b/Triangle.Compiler/.project @@ -20,4 +20,11 @@ org.eclipse.jdt.core.javanature org.eclipse.buildship.core.gradleprojectnature + + + programs + 2 + /home/simon/Documents/Uni/CS/CSCU9A5-2/Ass1/src/a5-triangle-tools/programs + + diff --git a/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/commands/LoopWhile.java b/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/commands/LoopWhile.java new file mode 100644 index 0000000..a594907 --- /dev/null +++ b/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/commands/LoopWhile.java @@ -0,0 +1,41 @@ +/* + * @(#)WhileCommand.java + * + * Revisions and updates (c) 2022-2023 Sandy Brownlee. alexander.brownlee@stir.ac.uk + * + * Original release: + * + * Copyright (C) 1999, 2003 D.A. Watt and D.F. Brown + * Dept. of Computing Science, University of Glasgow, Glasgow G12 8QQ Scotland + * and School of Computer and Math Sciences, The Robert Gordon University, + * St. Andrew Street, Aberdeen AB25 1HG, Scotland. + * All rights reserved. + * + * This software is provided free for educational use only. It may + * not be used for commercial purposes without the prior written permission + * of the authors. + */ + +package triangle.abstractSyntaxTrees.commands; + +import triangle.abstractSyntaxTrees.expressions.Expression; +import triangle.abstractSyntaxTrees.visitors.CommandVisitor; +import triangle.syntacticAnalyzer.SourcePosition; + +public class LoopWhile extends Command { + + public LoopWhile(Expression eAST,Command c1AST, Command c2AST, SourcePosition position) { + super(position); + E = eAST; + C1 = c1AST; + C2 = c2AST; + } + + public TResult visit(CommandVisitor v, TArg arg) { + return v.visitLoopWhile(this, arg); + } + + public Expression E; + public final Command C1; + public final Command C2; +} diff --git a/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/visitors/CommandVisitor.java b/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/visitors/CommandVisitor.java index 336c97d..6a74a76 100644 --- a/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/visitors/CommandVisitor.java +++ b/Triangle.Compiler/src/main/java/triangle/abstractSyntaxTrees/visitors/CommandVisitor.java @@ -5,6 +5,7 @@ import triangle.abstractSyntaxTrees.commands.CallCommand; import triangle.abstractSyntaxTrees.commands.EmptyCommand; import triangle.abstractSyntaxTrees.commands.IfCommand; import triangle.abstractSyntaxTrees.commands.LetCommand; +import triangle.abstractSyntaxTrees.commands.LoopWhile; import triangle.abstractSyntaxTrees.commands.SequentialCommand; import triangle.abstractSyntaxTrees.commands.WhileCommand; @@ -24,4 +25,6 @@ public interface CommandVisitor { TResult visitWhileCommand(WhileCommand ast, TArg arg); + TResult visitLoopWhile(LoopWhile loopWhile, TArg arg); + } diff --git a/Triangle.Compiler/src/main/java/triangle/codeGenerator/Encoder.java b/Triangle.Compiler/src/main/java/triangle/codeGenerator/Encoder.java index c883070..7d1fd6e 100644 --- a/Triangle.Compiler/src/main/java/triangle/codeGenerator/Encoder.java +++ b/Triangle.Compiler/src/main/java/triangle/codeGenerator/Encoder.java @@ -42,6 +42,7 @@ import triangle.abstractSyntaxTrees.commands.CallCommand; import triangle.abstractSyntaxTrees.commands.EmptyCommand; import triangle.abstractSyntaxTrees.commands.IfCommand; import triangle.abstractSyntaxTrees.commands.LetCommand; +import triangle.abstractSyntaxTrees.commands.LoopWhile; import triangle.abstractSyntaxTrees.commands.SequentialCommand; import triangle.abstractSyntaxTrees.commands.WhileCommand; import triangle.abstractSyntaxTrees.declarations.BinaryOperatorDeclaration; @@ -793,4 +794,10 @@ public final class Encoder implements ActualParameterVisitor, var baseObject = (AddressableEntity) V.visit(this, frame); baseObject.encodeFetchAddress(emitter, frame, V); } + + @Override + public Void visitLoopWhile(LoopWhile loopWhile, Frame arg) { + // TODO Auto-generated method stub + return null; + } } diff --git a/Triangle.Compiler/src/main/java/triangle/contextualAnalyzer/Checker.java b/Triangle.Compiler/src/main/java/triangle/contextualAnalyzer/Checker.java index d06813e..0fbbde0 100644 --- a/Triangle.Compiler/src/main/java/triangle/contextualAnalyzer/Checker.java +++ b/Triangle.Compiler/src/main/java/triangle/contextualAnalyzer/Checker.java @@ -38,6 +38,7 @@ import triangle.abstractSyntaxTrees.commands.CallCommand; import triangle.abstractSyntaxTrees.commands.EmptyCommand; import triangle.abstractSyntaxTrees.commands.IfCommand; import triangle.abstractSyntaxTrees.commands.LetCommand; +import triangle.abstractSyntaxTrees.commands.LoopWhile; import triangle.abstractSyntaxTrees.commands.SequentialCommand; import triangle.abstractSyntaxTrees.commands.WhileCommand; import triangle.abstractSyntaxTrees.declarations.BinaryOperatorDeclaration; @@ -981,4 +982,10 @@ public final class Checker implements ActualParameterVisitor", "", "", "", "array", - "begin", "const", "do", "else", "end", "func", "if", "in", "let", "of", "proc", "record", "then", "type", + "begin", "const", "do", "else", "end", "func", "if", "in", "let", "loop", "of", "proc", "record", "then", "type", "var", "while", ".", ":", ";", ",", ":=", "~", "(", ")", "[", "]", "{", "}", "", "" }; private final static int firstReservedWord = Token.ARRAY, lastReservedWord = Token.WHILE; diff --git a/Triangle.Compiler/src/main/java/triangle/treeDrawer/LayoutVisitor.java b/Triangle.Compiler/src/main/java/triangle/treeDrawer/LayoutVisitor.java index b5ffec0..00a5e30 100644 --- a/Triangle.Compiler/src/main/java/triangle/treeDrawer/LayoutVisitor.java +++ b/Triangle.Compiler/src/main/java/triangle/treeDrawer/LayoutVisitor.java @@ -37,6 +37,7 @@ import triangle.abstractSyntaxTrees.commands.CallCommand; import triangle.abstractSyntaxTrees.commands.EmptyCommand; import triangle.abstractSyntaxTrees.commands.IfCommand; import triangle.abstractSyntaxTrees.commands.LetCommand; +import triangle.abstractSyntaxTrees.commands.LoopWhile; import triangle.abstractSyntaxTrees.commands.SequentialCommand; import triangle.abstractSyntaxTrees.commands.WhileCommand; import triangle.abstractSyntaxTrees.declarations.BinaryOperatorDeclaration; @@ -681,4 +682,10 @@ public class LayoutVisitor implements ActualParameterVisitor, return r; } + @Override + public DrawingTree visitLoopWhile(LoopWhile loopWhile, Void arg) { + // TODO Auto-generated method stub + return null; + } + } \ No newline at end of file diff --git a/build/libs/Triangle-Tools.jar b/build/libs/Triangle-Tools.jar index 80f2a9cc3b0b2d34441dc372a1615654cb5c3eb7..8644910ee23bdb0ea0d2cf80d23c4dbfb39eae5b 100644 GIT binary patch delta 67827 zcmV)JK)b)|g$(JE3=B|90|XQR00;;G$BMBGkbndChEubjfOrA|$BMHVgFOZbZG}@; zH`1~q!INK!L4WPEr3E^)v{dYN3oZy!s-;lM!`kxDt!NR!=`yrKm)&)DYAlJb#2Eh= zV-yrkTplV>YvO~p302}VK4OB2#w?6sm#{(5jbge|!c~IC z#MCX}>H=&MlGjMMHi$B;5&C)p#BCN5*9mTm5V$^w5Vi)e4L1a#p;tm&!ghvWHZ_=u z>uZdJ&QRZFW(+Oam(Zixp`@wBO(Wix)DlCx^-MID7rczYw_WcY>|lYCD-(++`&7^@F>5^-QF$0AbLZkL{@C#vbJsbvVszk|{{ z+fM~(rfhkJY2h;wNOxPf^Si`HBF0pcjZK!r}LZGW`l zM5|RrJAiLH^sHbcvM>*Jr2|%~Dhn)t=Cc9Rxd2M@0HrPfa#*p{Uz}IJ*HPcCDvddGs>=Kv zR;x-Rhvljg&7oOUmgTTWRa$bGuYW47In=AlmK~i3n`XiD)d} ze-;B9Tn2XM4eWLqSOCvStQR3*R5Obm8@D<(Rz}9aB8?;9k;oD7QFun+jnL$K&U&jx zOS_NeD7>UEKw+FlzRo0FlgO2ML zZ7D|=7rn}5t@YkyqkkWYiAXfOqbRt>W%qOW;FOZFz%qLNR{BOSr<7RXf|%_9jf^57?kd3?N(8eHL9uuE^iTHo zeiT`2@`1>t(R1jnfYs!82Y*^{1-j6Q7|mO-jx5+j4ZO$YM8C@k3BIMCiee9$PF>P= z#Pfsg2tOfEpR)YmpV@FLr#Nn>@t{kOd&uW$ z9Ch$sap;7<=L`QW2k$tI$E^gl%ct;R%0-)%^J)66Z`dU=x&N!jy?=(Y5T zv6z}1swa9=xy6KXkS!))KTPrA)5k@h_D9B{^puv2p>zb&ILdm)Fm2sDyV;hHpn_zl zw@$8Sj3d-jB^vW6X3iT!Wf$>2%8}=xHjZLekY7q_&9QuZARw1q-g!%ovCEd*M&vXy;@!=J%Va5$9jJSpiUF{K=Q z&Ross&dh!H-nlcLXa05JDF83UUqbk37$bO~83rBsouww__z`eOV0aL2%i@C zjKF6FJ}2;bfinVM5cs0Nmju2n@D+it3VcoA>jIAmoE3Of;4y)70_O!D7kEP88v@@H zxFGN?fo}^uDe#oQcLcsG@I8U=3;aOfX@MUK{3wJU8}P5%nCHQ|)2HM3k>FiUHek8v~pmc&dv+nAzMhFUb0oXe-CQ^~B- zH1YeHd5-5Z9{UUg;@NDxpukU*Crm2kTg&1oxolB~|FyKqh zO{s1()ze4k5~?5Om4+?5ci_!q_4+jQfW^grG6^oeQKK*LptNF-EN>cmz+&q zQta@5MrUW^3U(R@P4b(w$(+J2<+<1ErZegJc((4qF6G)K>R~q1li7IUNIkS(a#rKr zYHi|ZHtnUiPp!Q~dsOo3ZuZlWWHwvhk-glrnzL$^^X6j7GSG2+vm)BMY`fK3)~Z_W zy0vu7aanxrCG$#EaNI82wHpn@2UAy@`5&r(Z)ky;PdH0-s4Z=mt+};RiEzw@1`} zIs<+G&BqAt#r+Z7je8>aDV~X76xT&?Jq||jBD|QpH0e0CYRxq(vsP`zS*o@L@iT#+ zNAL^$lEYWJ$N0F3%C+Elam94miHzGzOs2hTTMEPDPQ`M~nxi0Kx~{pw-$m<$&CCai z>YiXVFF8!KRjM)|pQU!ezr0p1a=>1HR)SM~C5sdNCC8~y<52CdxDKZTU90Go6F15# ztDlXEGP7>F{J`xiUY3jzwnJ>pr!hR-H&CwO0fZa-zN zTD)6}X6=|=Te*14vOKf@?-9CsbqK#v+x`aw1J8}w<#pCkV@lnVX&QFijS&8%E)>UE zUE>Z#QsuJcCV1$p7TtS554&NbUSTt4d8uSo2kHwCZxY0RSeWNFZ!%rKkm{RtS!@jx z3A0qn*)@wn{@K937vvlF=lSP<;W}2$2ye+4{OVw-e_HBndA~ef>LSNWyjf%Fza1Y9 zJ8^@?FC!n)_%L}~;|cPO8s9{IxyEtwq{a#Il*UQ&w8l4+XEaWcU!n04a$4hA@|?yQ z^1Q~cBxg0wk@FfKB`;`vjQlE%3*=igK2CnM#grZ6 z0KD2QTU?%gTs%* zKNgGzA3|F!>OYTwZ!B!w5(H?a|ZN5(`{Z2k-M6XP$lf3`DG(y4dh}1Y-xz zBXm|h+VB?2IdrJ!2_5O-OSl&i>_!{*pcDP*!(Qye0QOT}k84=g*RpH}S&A{1+%VT3 zU@47wrE;7zZsXy3E3M5ih(4}*8}&h2oW$GN^V9B4cn98zfC>?R@4~y)dy~CUFD0s{ z4q=nmQ^(MU_o$}~#Isx)4DlfvLURc3^6AqO{s)@=j;1C*U4I|mU(X_qHF@TO z%vjgKN4!j{P=lU!%yThPpV2t|20nlfHdc@GvuaGn&M{->n6PsQU4Q_tv~*arBpcxf zd<+j52WplqiM$*q(^ zO3G&0K^c~vlr1t!8Ijv4Tjh4jEpi8Co7_p+F1skV%5F+2cTsl89?DMHOBt2BDYwZ! z%I$IwH| z@SXLN_gqS{Ox}mRG<^iWSC4pVe~UljcRV1U@X}-8lWeE|4Nyx11QY-O2nYbjic?n= zfdNSh9{>PHV*mgrli-COm%zya41djA34ByV(*IY#N#5i!kPt3|903A^1PJ#LAe`aQ zB-}_;)FGL`NXW#=1OZ*oRo7ebz5oRUZ$tIMKikIynsubc(!qby{q|Wq-C*$V+7! zI+9Obstj5}OWou*_~BH8`8 z#?noaS8<o(+4{J)xbscpd9x%dHGPMj|^N&f7j__1DDe$ zI(=&3O4_H>X9lj8zn>ephW??`7Y44QFWvN&PG1|i(PZ+Cy!=zAZw=f^-|6%(18eDf zo&IfLz0{_G{-e_m1~$;OI{j$iPN~&T1~$=aZu(iLUkuzMn}7BjxQ`CV@dtGQ0}l#v z3!w|mz*gbXMT&uKER0Cig-%GX_O0|+c&jJYc&k^{`GSO;l2Fj^tyxm-E2vvl6Y^Gs z{1vKqwJ%sOx58Uf;|q=nXAfpBsbl>${!kHNd~PyY#Z#f* z0)lIDpwh?oD)HC&%IcRd_66s87guvjW=VkUx4_G!{A@M4LQDO1gkH_fI?EfZQ}#*W zHIOEB2OVpsdMhe?wIODdJ44RiIwZ|dyt39;5%N_MXnzK`&1HtmO1-t#oJR3VNyD1+ z%x;sx3}&I_N)9P?A#aeM1~zYrNDE3rcdcXm)G9V--BLmuhf*1e&^Nc_RPPFJK{Ycj zn9CE?EE&_ZMY2m@?yIZwF7eItEw8QihLi!D3?#Ht3eT<&D0MZKf&HjfT5MJ@uq5bR zPRPye*MF#rcX3@P$P&$!f~}kv^!e%vtdY#kRWWhyJVHV9<0}HomwRg}>k1~DH%v%8 zZSw|G^vVi6+^99DRI~L-R=RdduNgHV-x6Q2#Lo`kttO04q#lo3?q@mb3L;6Fqr0xw zS6$7PB#0R$gpr5Lse_Vj@=~v)36pM2^3p{UGk;exnS~ntD;T7kCaYvr@mKj}#0+nN zxg0X)H1v?DTC4eI*0MMu>HkBMpWP;s-Zhgj_z>vKK(M@&StOb~hGVBx3{g&MSbY%> z9RIp-b7ZP{=K3XLXSP&tZJioj)cmCUSTE(CsD2sjyvu#8&0ODU^}d=4pG0SWO^BD& zynkVABaCgHrEeu?g}OS4aJHuwJ5AEjNeR>{s*V=G`AD zRan1XulgO8zy+5q3Hp|Bl3_0l2ED5$+RsvxpvfWu3Wc$l!=eW(!+Eq<@j> zD1l*C)$(;7U#IwL0_L7NwJJFjt_lQ~OOsAjzp+rbixMtQKVd>5BPE`}u!fj9#(Ge; zX~Wfky{Ti!jVnY-l?f0d4oMXD?0sn0STu3w zG^`<}MXd*A(Tqro#uXyPo0LW4h{6_aYg;s`D+!CnW6D-EyG)Ck_hG>s76F7I33psE zqQ+H~Ohz{;r}}->m67DS>`@%loicc)y+@87pG2CCJCVCewwVy7Zq-!ncz>`?XwEc?4`b-&m)c!SsuKBKYQ>;IsSFLtc%VbakS{dRZnuok_X@6Uxb`wN+i}z zbR}dbIyNBmmcp_T8wK`Ov42IgeKnQYfvW6Uo8RB@7JI=V)kIR5ZiKFWvo*WgY>qS$ z0lfT&Sl$r2SJ+MQ*bi=TCglxSV{(jUSJ1*5gi~6;x{dM;_K3Y6{D^;f@S1eU*ReN| zC7kNSM5mD7TWu8^($?KQ_yS*gXbKg3um>+C(!H_P@m7cP$@Wk#^?&2iGcQjUJv^eP z=*0!t5Z*BzddVUA<}lzLYN;XVd$SaIycbED$0S~g@ZGUw(uXV1MiM3Q4t;tPdw$W7!w4&98ZFfv|?A<2;)x76>{pOTUnFyABkivb=nP!w=> zm=p+vBzCghU#LNM+_Gu++w6#j7nP6l0cwV&6~88#mj3$ zs~V-0e&1Qbkvv;uL{i6Z+1~65yN(`_^op~&BbR%0BJhgv+Nwz6LJzf*3*Lb*_!{4M z@F70(;8T3Y^>@--9ngwoKE^{msaH!vLKPvAOvZYs3w3QtOn;bFR3w*iiEt-E9R`c= zf-`yf=QoYUB0{&OD_@iC3!7kkvPD{HeRarRTOD0x%6Y~U#wE+fEIwi|nZPAPOJ#{H z93_{DiF~JtC{1}xN)(({u{YPhoMli@D#<1j`X@s+B@l9GrX-4Ld#d`ybrnH>twqV{ zVnCv$i>MhJGk;oG*(U`kPLy{d*i7b2D4YY$ra6h6G=jqdZ!k)NsU8|hqY?#K1QXtl zRYY-_)*=Q|>uaJJOi#2D;oJDI$~i`WWmkp|n3z;ir$9SS+3BING|EF0h@E>%QrztL zh{uriok5tC6fZMMn&EUzBGcQPl=n2Ldz;5bo0k2iX@A9>6s2^QC{ii)vB}a)Ux`6q zLg*D^=}oHa+D0jxUw^vS=q+n39q#Kh2cL#u?juhl1vlID?Z5M?* zO%5}9Bz%+28}Y^$xic4^%2gp@K#N{Xg&$iaI_^!hUa{X{B=q^XNArnx>~Rm)6K&Sx zoiZodQYAQeP2`Vp$P;elnm?ROG;17k!oZdt=6@v@txet7wahQwtY_k{L3nH(-!bTf zALGUUVkJerb0>7jozXAW7Y!X_e_TRnWq$TyeMvDVsV^y7_zlOR1g~D2ydZ8N{|T{# zZW)Wq4qe0}q0zcSd0F-Aj`dq8VMesY38~DAXOKQv5)H*;`W;X3C9hTFhafGKtvtaz z5r41kTF84(0^V`nUAOo%i(ffQQo3AmXm&bs0$rOxptx^Dk8CtPb0pUMzlwVF z7(`apg?!5iTA-fyZ5>Pi@dtPh@2?3l!uZs;YOR*|LXBTz4ro3#MonmlIbtrstv*dD z%qBvo<=$mJu1_kwq2v6arK(c#)`aR3et)nTrAe%O+b)Iz1ie;1Ro6seq(vo7qWf&= z-0B-Cb$j!XiHpM%w67cq&pI+hN$ImB=`7Xc%fJ@SRFz<+_#ew0W|f-xBIqz9`2Q%= zy>|HbQ-|cChtJU0{|_`uO0Zjw1RNZ;NT~R=(j_&E_t!1XVX0VJuz5Qi;@o;eKmI&#r}M*=g%`=sx1St2PRD}S|+ z|Eq(H!)%Bnur)YxPJ3AVFV0EOfA{<-k^dzYGO1~<`}IdoM`Vo>?L*>xo7uuycKvs= z-jPy77xU!DR0|0?jWx5sW<_9`&wnbN%_4p(^9cl3>Ed`oYDplltiG1unIVtUCs%vx z>U><;-xywZTRhC~wx)B&tM=99n1r!Tz2gu{y$Ci{6dL~rbbrp<0m{qY z4w2V?JG8u=aFy>s%66naq-dYxYe%H;)ys1%Mj9&629?Ny56^RJw#fxAU>Bh7USugq z-R)*M1mEq!OZ+Qm{SA+nEd_bopl^fw==JE5XWpmrl=4P-4a?6ufVV=5_7dcMVW8`^9~n?`nq>OPCvo*gEC zy2C16eju&bzwR)dVlnkdrm5SIz8&e&94}-6FAC%6aX5M$j&I=4)_;_l7kh0Ld-3~&kDxD=VVOwEyJQinOfn|RA|fPH)?cyuP-=6SU+`xE|x zcPyRW=QhcA7L`b)G&Yj;n;y0dc@1b+x)bfo`^(N9=ul$$P)5&n$jHm=WIi6n?y?D~ zrL-k~3-j-a={T0>Gk?8j2wMQSfn~ahZFMutbqkMJi=J4=-m)HJa66B`lPNZ!1RGI? zyBr;Rq7UB1drVuxfA8Z1W!qVn-h#*e6@Rn5tu?e?FkMIcNjlofVISfn%iKFGWv7xY z|IHX2?s%patZA05Y3Gv2xM0f6qcgj(qO77F7@MT+>d0vyb(8n+=Ci`?rMpyo|4+^vRCY=WBcuSD(~)`t-8($%&y)@7VfCH~0tZ^94)#CCmF2>+?11 z^9}3sPuAyKmiAu>_4y}{i`1uAq(0v<6H}k38UH2T6n{~Pm8nYe`Zu5tqeEW@5RO`p z?%a?o8_Q&Ozn#b{&mYi#2l7ic9sEm}8Uq3`#*drJ_BtLCK9AN<%*~Fpyed zG^Q~rWuC+FyaZNlm}uz+Uxj1hpTUdUO(D;rL;w= zG^l8Z)_==X=^n}cYBdKKiGVfcv;3pQjrZal>rb}dX5LeXf78RpME%k0QS@=fsj>U* zIoP)S%WQ*pS&zP!!3Pw&@-n(MV4!99g7N`bE;jt2l1;cJkF&~P9^iQ5GRyrkhcsa5 zO*m09k;s=hj7d5drtHM<@~jlTA5oaP6C=wNtAA02y7bn}(R`m%=-!FK@+>{eot4VZ zV+zx(iO2HbtTb*K$20fH%jhg+YCut8O89^ja{!IE#BW!UmyyOJH_-sAWqes)hR3As z%c7gK6D+MJGO5cNSi}P}8wX~%?R$H|Ns>s-IGIIyC5%ju=0C;aU(5qHhKbfgiiS0+ z#ed@8uFRBpE2gq_r#;3AjNyMqUPcF7VHbC0xf*cHW1QH`K4-!d*_Wc|O3Xe}fKoQj z03~agGN0Kxvz!}daRcYu*^T+OF`lT9^B|}#$5T6wr}i9A9kH3Ra6fg%qjWT$pe}fh zx?&G?!>iOCZ&MGvOFi)s<=_DICP96v4S(fQXUd~Kl&?O7o=jLRv}V=u9f2b7?$XL=)%|noL*F6uO3r=_Z;=>zH~YO{Xn%3_VPxw1dj% zahgfb(k$9dv*}fuL$A|Z+Q&0|LJR0~DyOgLc>0b`pdaZ(I!KFzi%t?5bc$$4m4BiW z`9v405ZNWI8DsMc-89?wdiG1NDQ;_P;9gD zP}~=WhrQ8yKQ^0q_zQ+H*#3f5IvGErt7w}$BDd|&sLk+%1Eu^whfJWP0Dl8zs@%lI z2FiQo7Id`*lnm{dthQrvd)p+Oi=1$qV};vXcJ1*^!?`FdoE!0Do)gaVnas_73rfN= z%kjLDpj$W+ZsSN;$B}S52GK?gqdPg9+{KpLgksu^W9V)ypnFkH4`2~Jh{d!8OX(rh z&{l-#VXUTYIGeWPa@v8b=zlR>M^7kEnZx_)(e`?B5^Za)1ea*})LO0>S4Z7BmSo#% zw4-$86>|4$XRGTdgWF`bx|}1{UY#~@?Z+UUGI@1!N4O%Ep`E=9EJ3Q`HnwRVJ}zvh z(T$%MmdVCKm&ECO)6Qc!3%?t^nV@IbR?o4mo@ZO_;w=0k%fFkm@P8iU(MuRfzegdx ztaKm7bLX&5?b-V^rfzNN$?`bb6*}4t3DeHX#Y(&05xRA-brXA-JL|?+6W7H!_BaAz z{+lEl_^;e@yRAwuxvK!W@NYI`@eQt2Z zH}AFlaS{7t_r|<>l7AX-G7osj8gNRPnIEhHUNvAb54bAXTwP&>K_wG)wsW=FWv5>5 znHaXFRyj8MT5-VA7B~rlV`2#QeUS9S;@v^^xh4dDO!9EUyw^2>+ zAVBYP7<_g(8#2GyE5e_B5SSzdo`Qj1iY`-HU$@m(k05o@>-9q1@dd>Xd! zU1v^x8drffuz$OdVS)22yfrt$xeGd(4$bM%4rX>j+o5T`?a-XU8XdX|TJ6U|)1ffeXM`S{3wk15eCGwo?MEWA?&TTT%=X0z{!?ee-rcpWGtPG`$l?8E-_cA;)$a7*>1UKY%KY(fEMHnmhyS(tTt*g@)qM73py4CQ;swNWwwdXzBg|%Ozq40R~9(wTmiCcDAfm> z(wpZ&nQ~rH#?sG(E@q*%m>qT~nC{5ans;?6%zs|zy^35H5iwyd^|Ktak7e%Fwz2aY zP|Kz1Y32EBxS(V4j+{>I`)=#u6n-7$aiX~r{bj-Y%TPL2iXMLx+84wwm%(N$*CG^3{5ELWKedtuZHeQj2AD%IOth zz5X;Hacz8htlIc=JK3x>YvWb1YGZL4uWlXtCPSO3XWv}GzIi(P=1TMvt1wWk#%OT{ z#)>n;YhxOyb|n$DG4JBtW^GJ^n&jib(SNnE-1(b&X|33AIM-4zer-&pe36jWp8t+9 zpY1>Fi+pz4Zw-6S;paNrbs{m)w9#tIP0rwW{yc18Cv)JL&cNST1JB}t&x8%^Vh%jp z$+`9%jRoR44x*mR#J_Ep*qJvaOxRS5(Rn5#RS}=htVTE0tWn_KS^{5S)^!)gOn=q8 zFj!UoLY&9Ga6bFO1vo|gjy?Wj_V`N>5SOyoUxwA{_xjz5Vzuup8jdtxKL5O?8gaW}pb_uvO{ zFAj+NI8EPAhIoK7#DmmPY~eKh5PzrXt(>MGrjcShr|3thNIXiD#bY!>?4+6EahfNd z;HvE@su0got=Oe}^bU?}KkuB)3{meS+ci$xT5cOk!?58-X5*Higr82gNy+Cfl58ro);2*-7oSc5HA>+ZY?|pnvbjDQ0Zs z=8pXt$K*z4^pP~m3jHnC+nm9%0wkmBdM7A z!u1&I=+R8Ry(Ct?z1Z>MOMfjdzAP-?Uas=(6;1Q)2kggxV?X|o{rDrsw7)Z^eax8l z32XZ)Yx^0a{69F~e!=s8iTYkUJP)r3HX9<6+a4mXWSvBi-2?h<{ye4P3(m&uk8d z%;DEq!>{GxrOCpUNqU`wdDolxb3;u0xgNb)=kIvkzUOuOH?P|dm>_=UEc^?OWha}> z2429MyTuy8r@7$QQc$C%BE(L&T65!UEe)4)Cb>dugEd+@ZqPDto7NT^v`pNswZj8i zdpxFf#1mQ;p3ypEmw$FNUe>x`uhtcBY2EOi)*T;d+4xNBf&XZ|@RQbubS=**0g4^$ znC(P(jnlT;X}bZvthNoj6EHn#mxD2T9gO*ice)Biq&qk`q0=PxDd}ei8B^>i%RuUW zOklra@5;#?I5rX_rciN9LE=UU5;s{OadQ)p&<4S+4MA&dD1WlFVd$m}N1iqc1zKSQ zNKEBQCmJNoHVG2bngxmJO+jLYqen9!aZ4*TP;7mEes^qDv(&$1SGT~2yFrk zZ4%P8$!M=lLAF+m9BnFVJB_uSjxpK{6lup`vUV&=wbF2qFzdW1kTA8EATiAWiDT&4 zD3F-0K%yiPB!5b&%-SRWY`(AM9e&*15KnIY%Z7SW5b^g@oWJ+O`oqUPA2sgoW_bJ* zgU1@PRx*vfUK#s#HowSD()~Peur=@j>s5p`XZYU{92TaS~p+fk|A!SKET z_1c|SscpuY+TA!;y9XC(_u?|`K3uOofSa_3aDSJ!-C2`yj@1`3D4SHc`({XZ5adJ3Jjrx~}NL4WO84AP#%2<>^strsvu+r`#> z5mmFb-B_f(6mi5NZ4rIMay+-0M=U4AIASsF*yM=iMEi*4xX2?GjTR}lKZ)yVxrHGy zUzBs5oke%5EsREYfoPMu-m9+n{U1+RoTOQB_*pUS*`LmeuSSN$&XU_(R6=sjGrINkMr^4{doBV zm7mDh`1wivWXhf5=cn@1eEf7DKZ7oxsq(Y|@|Wn~CBDtiU*@k+?o~?g+P{BQ{u*6-jc=m_^?hCCZ}2xM zdCSM&R{1-0`5peMkH4$(_lWg9{yrth^?}Miq{|=D<&RYUFt2`DtghGUR1wW<#&IG-YP$dl6xsxhl%Jz1^SRQC8{VT z+`g*l$B&@o7@z2`ik;9KVrRb?AO=!0$j=n93p!Wqs$y=iB8Jd!KoLXz>_IV1<;7w+ zC1rGa1m$+4WF*xaMfFBgGKQ{>rDU8cb{Bh4GM+9>P!VM!C4180B+5;u++KdMwQc_7tkdi7&swt_VBt*$FN@^*oqa;kpa!OWEQcp<( zC5@CcQPNDw;gm!uX`v)aNh>8QDOp9yYD$0FC^>?XBPltGlA|d(hLU3`IgXO!6>)+h zPE^DiMVzFFlNE7_B2HDrX^J>q5oajkOhuffh_e-Ojv~$l=AE+t{AttY1A_`+ou@W6 zwnT%C(M7@f){ww(SpdvGw|xHeISZFAm_C=z^aa*wSyN+lb|@MNSGNG$an|zS%HV&9 z;Hv0|8SUpMBJ`f&#&C2pu;Rh?MTRT_=9$`569U$CR=6=Vr?sIf6j>Oos>d^hvzn@d z^^1a$FdZ8wJ<+-_QVy7f0KvxE`p}4IBovw!39br7M$8JfHMK?;g#EuB2>v zOG~)6acWaTL$I+1Sl!_Ey1}ZJXe57F9bM4Y7!9soh)69Xs$&IPMkLgm7*n7g>y22{ z6t0;##8v|O2{+af67q{+OBC4HtoX2YQ`K@{-I9rc@tTpqc5=bbYepe}ZiK19`ueyD zmbo>7rB+r37@Y+VupM-Xr#Cc5+u|bEx)s@4Ei0MFWJ3h3i!OAobIhYqh*K>;xiUJz_yL5(&0VU)>xDVU%iW1lHgncPL&KBU@tStfZfi zJsq$jU56>*#$Y5tiN*|+NUndKl@=3o-~-k@9?GpsICTIkXky)bbbvH$z0?RW{z*;ja>37eP%e*I4 zG)6 zshp2MmyXWVg9d*MS?gg_IiGsi(E;me-g8paa9RyBaZ~H&V>K)d8?c?)SC5h|#>PO^ z*!5SsR3{xuR*FsS0A64N69TqW%Qlxzp=iY89M&_uSWoR5ECn2ydG)U(#ZrgA>@u%ojC z^%OOng1U*DS~nj-Jq=qzP*1w(KB$`n=}__!)Lro=2X(2IPC?yda{$UmP^rZPPeX^Ldg zRFdoEuc@Rk#rM608!${I$R2hjdrHNugddk3l^Iwn8lzbRmc&~53oJ=IrpaUL8CjS- zrDFa}o=Rn|H^-+d;ZS{|63woZkk!vhmq|G>n#+H)#>^x|A*We~**fiN=VR89mM{L$ z&)iQkD~w67-g%6R#Tvqm`gA6#Za&7iY1quIgB3OOm}XhnRgaFtwb#l^kGA8ngdy5^ z5x2P0E*A?}Ld|^aa*=WY>#PfJK6`QASlSL{*2%}lQX3Xi)M-tz4G6%34x+B4Vl5-g z3R!>2S(X`vxgk1sw=(2(GN}P#sgnS#AQMyRLjSR%bp0B zX0A!p;%o!h5(jyWf>}wpi#up+o%QiKXkyz>^x0|VJRKs4VT6UDNKBGT z^CC%yh}tbC>b%xq)RgwV|3A`pOcMx3qrvJroa^IV5ZIu>j&&;NT^yj6x_T5PBe9(? zZwg~K8R%SjNCnod!M|Z(qNNo(eCGwozhZW<*?4cKpdl2g#otNxU~`-5+iK~_f4YB* zI!hI>zS2_l;YR(vmui&0G%XetLOo$MiWY5((r}=lwYizbG6nTbSW*$^0rNI3Th1sz|toG_I6utczPw;dI^J;Z}WXNDVq5 z3ED;)2P9J)B{k?)h?wuF%xY?C*7tw-XYh_nyiQgTTCH!Vbo;(aJ0rbE6{!Wbv_lqw z_pe$Ws*a-ADW?)$mBVUzM^HFCSV%|VH0W~s6eb;q)6hBN70+~JZdd;^SV&Gs=QPXD zbag%*ms1DKU>8n08mH-5Mmw0&5iQOsprk`SDA)I-(t$gL7I*KErDHfSw|9T*I>hF- zFB*1;&1ElLrUQ4H5;(ntnhyKmoZs=yC5F4)+L%jx&3IF2E^c<*LYofUX{MUlj^kYJ zdv5n>cNj;S9qs8jsyb@-e>xJUcZ)KAg(8>u>TXk3E`8GF?zN7faM4@~ zT)qm_Q50$3n99XcZeJ}+$8mpHN4$TROHX(GnqoSVLp$JI&W>_+nwMR386{`%Zg4J9 z%H5099o1^ny>H%8{z&s;elDYvj5jgnGW*M7Z)h&Fzf3pW<}w6!dTN$Sw|Db!E0@mV z?r~)<^P2R}xzZue%KT|WIyk5AreyMZMlM6?%wBIv$8<>U8y#|L_YQyiKXMm6?Y5X) zW|q!7hH{^YrP)Z8J9wJiWx3lW-KM+T8;pD>VlMY&x7$b3aa4EMo|{}8?D$YPmuQ^+ z5qUb~h1qWsNC&Gf2-h|Sqpgt;czdK4aNvx6Lk`@DM3#T`iu{wc52o|aB^iC@pSXQAntv{1(3pQBa}b$-CS!P+f1;$( zU;YU#H?91W>34%1Xn^?_G_^*m@qQco+^F^Ip=E@pK|q|Z!Ok#1gIy>Y3_}!gfhH~# z7b)UmOA2 zu^*TvUqFiZk|Q3!h{y3&PCR}|6Whegig<9`%^TK1IEa2TXYM8L%!ihAcC0<#_IMJV#?!>$xuwNH0fr ziJkhAcIqGG>->#vHbjU73V9NU%F- zox)mQEo>{dU|?k+{aao3yxwGdwOfUx2KQdDbaWV7Ue~hbm3h!P7#z3 zrKC6Sqj^fPIv>afDW1NXr=O=kHq^uzuEAY!w+6Sv9U9yQ>ovF;ZqeX=ctC^AaIXd% zV50`7!xEI1|e9c zK^0VMuoNmaI0O#WU%w>w)Aa#1(`mKEPHp=Y7MSk% zT4Tb)KkGLh)0@b?8nD@Jg-_{@_8N@Lcn6YG9lJ+18OWW|i|yqW<#QJ}e@=hP*rzDl zqUhB19O}DRZ*+H0uvc+JhI`t8@u?%Rn%hlf@$Z`t&!_^hecfuEcoVYMl2084Y`08z z24dHn=J-Zg!VaFq&)ubu@Un`)=D1Zo^+qaGon=rQO&6$>puyeU-CctRcXxMpU1ZTk zg1ZKHcL=t)6JYV+5Zocy<^AscbE~>)dV0F1&P+|sbk%d_IniY5x#!N;x#>z*YhDuN z3$GMYgRUN0z8$;PNz5~U)OwA0S-P_N4m!?l0A4?7{1*~t{3yQ=mpH`8ur>7p<*WIw1-W>1+Dd*vtYAAi8eKxO}U zWucNR&)An_U9s}YC~J`srXUjfj5yW|N1^(&mx4LOtt86v4R0LEdUSxag1-W(DV-UW^cEpqZOq7g_R6;R zFW#%sTEi`L!yNB56ueL?wrM*4S~_B@{n5Micki^I3zy0^V)xWxtTFg!6ZIVj9wuO= zPGN0}W4^7RAbVLo7pLO1t(iYltnq!;kUQI1?Ft{!Fvwu?Kwr`cOX2m8+CS=-TmO}u zPRq^>uf720sltDL-S7?>*)22otaHWr6{Su_?gqrIYw~aoCaZE+GljRa`VRBIA`q%E zwZ!&uBJGPj`(jQ-l+`{f)F4Y)M+^X3JXHgG@MmtD>2(VMvtkv}FQr;sxoy+s947S( zxNzk~#_xCo^EPY-q@v}BF!sUN)iKeB%c999X;H*OavpYHrOKAVPwXiQrT^Uz^~Yzk zN6l#y*EKe|$32S1%1P&}VL25mZBbt##m}ndV}C`(v4)fNnnNpQr9mo16{rW~LI!7Z zJwr%TpasR1k6^&Fg#W&MO%XRff_pWkrO_dGgfjgEg>Oy-yM4uywrhBGVS*R>v~Xqc zDZ)$uDItw5{+&|`3o=ReXKmD{Kz}Pv0Xo9TBCqSVa*%x^5!-~olw_$-V`;Rr5oak( zs!-uqDO4XkB#vEJMv{-NbR-i1J2j`1j0TIe(TDIQJHs1M{lH{1(ii&%Qz%6I{nBiP zX6bmE&lXquJ7u`QJ2HR(Y7iN({h`6hQ6?X^RFh#A_pL}5>aVNnt z`=Zc{E+z4AI)cuSZEF#&B)f-hg#7Ue-ak|$Mc6Qk<0}nek-`WEunHQ0;0+l)d8 zsE@w0vu2fK#}~u|iz32^W}gjA&rxR*NyN)@AW&x($#XE&Ub47`gOJ0$O$ijh2A}2l zkW1Hm3h}_lj-xBIEY^U6`k_rkT#6~F?=B6$5jhQfOi43rDdj4}l#6a#qUL9eQW()* z9xN8*!*{LOt(ysmy)xlohrSv=WRu70#oOTc{sF zWYHLOL|g%wYbCjBbq}xl=x02+yl7RJhi4((&nMl&JKZ8-xHbT&P{pQ=#iB=(NmTZ1 z$37$+h(qLf)>-bT3+`VJUY>6M;wi5n=_#+zOwF#dZ1R_QPG3nZ?@>B&ZOGi^=RgE_|jB$%cQzsk~`z4qt4yF(ucr`uRvzoKK`4z$yq9{nm_H z60m7Ek zH zB&xayVZ=9HJ!8nX3yh8?Z=tr{3EqC|qX2HH{(blfFnoN)<6DwWkzk?`;VPe&QfHYuo=JLt((ZTw8P^6hq%tl~jwa@61c z4;5juroMufIlJ!Yrp0GyqL|CUVj97f@G+$jbw^2ED}3bN1m?;ZCDTSJs&wNvSeCIG zDGLTs05^dgQ_Q!)Qo4|VeV%R7Vb0Y~M#Oh(xxsf`msUl6UDGSE9#6dAChI{JHC*GX zYv;lP$je~@Y;lxidOQDRu} zW)~Obg&}PET1NF0#UZ*YKUBEPYPJLY7cGha6}v`8gN#!-9ec`gRUFm$R-X$zf7P@? zx)wUQT#b|}gD5v{gJgj!ejZOPDCBwFsTSF$tdl=}glF**aq+y(g8gPkm}f1Z0x#xr zfT2d^1~N-?7rIkpw7I>4>X&fZ6>tO3o`^WX$dc?XWXc7Eyf${GdV?j{x{KGdO=jMu zIqpSR-DWDd=pY#~uy6`dvbu$hh&?k_YhBw$A#7b(TbZsm7fhaG#NcQZEOGGe-{5hu zZdDeYZZsFnpUWoYX!S34AT%+zBjsBIl%g6ioIzzL{p+)8UoJ(>2}8)SzYV!zs-ikv zdkASMpV5TC)u!EtJR97GJa2y{)HgDT!JzA&olfIr^TU+3nt&^54S*+6YT1|KY3)|D zn~+Iz=zt+cj$PWaTFIjEa^<4XSdurMsX-0zudXfYabXK zOiJ-0Ghchx3aY(B>9&t*OxSkk4t{Ba_`D*5mKXUFVY( z7k_w*J5ObmeH{t+{}o#2_-`xs?DHN=_S*=|Lc7fBdvD_XFXwGRLhwbakVDT1hx(dH z6`ywE`b>;3ZN<)3m&yy=zw{03hu=lrf@rs@IRJ!ToIJ2-C{QZ)wS17^^ z>m!<0-HIH^6~$Ad61Qt8fPyYZbFl|!7pv|Gu`caqGWL}b&i$L~{o9AKAm%hz2mJ*W z>PJtMXXv|WJOq%?;|7RJXT`IhgvF_cG;hgJMU zX0a8HeQl`wmDHiZWQ)(!jLfN&b))@eTPM?}e6Jw58q9^X+S%nQ{nuKgNuU zVT_q_@GA3|>ZvRazyeSG(Pasg-VhQ1EctvvfNQbbPS!;bs^Zkm5)~T%CgI$d)2m<_ z4}i@cdN36e zNzNT&WSSP_cS=q6Bo>0F4n}=NVw*ad3lNRSn_CM@ zy-*!2P&1xJW^t0`X#A1ZgkCQ_9Y{9F@4iTpF!V$Ngh`uHgQ805Yhv+7_{fn4k7aW1=-(FPvAZQ z>cKXEY?f~ONuB^v2T=x`MhO~6uQeKk=kemj78 zjsKf2#v&uoNR0IzOFdF;Y@}ij6G8++SQTzWa;}Ue9SC?)Sqdi|_Pdl@N{3sKGy>lr zEIN>qn1P-+n(di+Y6X@C(wius5FE^)LO!r1tzvN*N&=dsAzL4(W}*(nakH&2+PTx3_nRG){Uxfl^qz}kN-=m z(m}r`->qKeWBez142O<>Ca^@v$=#3z6L>qG%6+!%+;@_fB**yO_EZ3yw-In>k6f>84sjEzNqu=`>Z$wZA<*@&A>ar?sZwVDu|Uv1rHBK@+m zx4`~CLPG#^yfEw$?`BI*D}c&Rm(=SEX>doz0(Ys$F!=}>-Sz!RWITQpWmJawu(_^i zoLWpbnB;F#3HNS*-?`gTW{@#nWNwpnV$gYbr>k9JrEv{u+0mR~wBbrbVqmBMmzVl1 zNDGIao0_{wXVLtv)$DG%-P2t4-L83n8`573M)49RoOCw%`6$5iA}^sWAu9iWkgG;PFCwRG|yEmXbaevE(* z0-(1PakFm{xw_A_04UzB?k5L$Odnr?kix;%dTrhY0Il=e*W>c6yoV7L^ldMqhwo@c z?vXBp@mW;xbzKte_#*}wK;Cuo^_h_|v`vr5`pgR!37-k#0odM1q-{rU__CWT4xsls zc~0n{#MgnYTD1B=r4;h+L#2p7R~rT~pDp46k$@zmZ76Sez`~;i{y^k8+Wmq57@CM% zBwO?av&18WcmUdnMdERYc;Gt`l{}h=XJkEWBm@IEvrbMlQ}!{_JJJOy|6|4g@jxP< z*blORV-!q0kmJa%Xw9V=bzkC&D2@m+VlLUu=c?=+6oxFPnHI>}KJMt2JdiM-HxI@Ax zk~Ni_D&c_|KL1({|I5b_DAaK@pdirQHx;RW7iF8th*^TpCGrxXE|J`4gS>l4f&&^% zJa7|`iPV3HvW;)VD50`J?y&F40sTu5IO3}lF*`;)fYWObQSfp0sJb8I9$t}`G+cG* zI*FZYzXH= z1ik|}YhC|U+KsW)ck3tCPfGN6ZnOwiYZhZO_`;+SBW1wlC*RX${Hy@h03S zlNg?5MAThe5+C?qSUZfUL2+9EpDXiM8E@NqLtG`cGP(wY@2f@JuJ zG5SJS!Q9s;m!42)`LahMEP}lO2?;FV- z=nS`KNO)qA@9Vc6fa!4-a6S+^9=#|v^6~EKoVcq2iPLYWRVL;=4n%~?+FzW%WFJ{3 z0|}C)V#X-I7Chj=(neb&9>YFm>^)8$W7KT=TB}A5)NE>njHv^7cI_w*at2|CJRgg^ zZ?zcOn&K@CyH)j#S;zn~@^ej)|INx5a+K@(Fz|91m=bY?f_xlE)(zf?{AdIr+LS)& z^(Ep&6wn)0*aa;PS&_sHL3|o7^$P3yG(k1yl)!?jeer6OJEPVlVw&1vKL~@?g=r*+ zR12|)TfEv5MnG@?DqEKoHFY-82r?Xht%CDU$br}%&6v;=aIn1M=SIJ@7yjlDMmHXu zp5%Zv`Vc@X)~lehud zP`J1O)esmUZa^_qB5pu4v@dQzK9nkMKs~f5Za_K28s4rIbpmWRiXsF)rlRp8xF)0V zBD#Xme&OtBkvn1T@CrQFOb3-Ut$BJyPM`l?x$tb&xAs1ENSHofS`ij_4x2t-TnQ9- z{yBXvbF_+fYJ;rpco}}+Y>!C(M;zK|T^~Xazy24%!8uC+KO;RW9ak$eT7|훺 zTJKd}P;co;Vj}P)>sYBS%OtF>V-pu4>S8Y2cN;mx3rNmw+PSg%`?LxV zIxs2VKDl!w?(g1pjXi2)0P=R{S&ivd>puCfUp;0$i3L3aEO)V4alOpFF;;Shs-#F< z(|BjGnwcI6r?UZP#XGS`&1iUqG~?)10`RX$6SyADJXH8W)kWJp(M@I#x3|ZNU3L=v zw`YyAIr3enN9g|uyi`lRbDZEwH6<-rfh%nRwoQ~sT(Nrdb3`c3+|RhsFH><7ZEa6g zuQg(W&6oxXhzo;f*p0Wk?9N)!1Z20o3e|}4Kntji)eQLVz`xZQhx46$*Xlo=@wADN zmfO@aDIC1mtwBE3Jp&3qun|!d;*tmkZK9i1LZt3cs*Z`%X&5UL)A*WaoAy}|3Cw_^{2g-?vFaM;LG z>2IaetRK?Q9ZUaedr5&M6$>985N+k4&N-OG!Wf|-2f{CGGac_Xsps#<;8l+Mqnorp zpnevuC@3kE89|(8(a|(0=g_(IqC$rUkQ;2NK6X3QlbwVQ+|j#))^BC>DoX?EvRk?N z{k9sE+D+mjs2$Siuy1GTwn}8W;f0onv&E8C8(7Dd<=`F&2DWouOIoU{k8bTDs%yJ9 zf)qt==B7tyLld!Y7&w={6jHGWDK_3ndI~zCH=6C|AbEcKbMT`+TABp@Gj3H80M$zB zDbY^DiYoAXw&)%roWwag{gbVHfhJP*Bv(h&cSoJ6cqtFQ+h$P>OtNizFVb|cP~C+C zGlRcHtV+X}WHKc>fG&Fj1EL=pl5!4SqHbVk(IU$?UG@MmFAXs~0#S2{ zrWXyV31ZVmGjC5GEcQtJVLrJ@<>$3EsD7;netE#@$`M&Lrz^_=Q|{4Jo+*juu}Y|_ zQj61izG9L=3b2EdTqg>2CDY{*6qKsDhm=}PNN z0bFSjT%Exq$ca6fWz98uyd)&QW3Vj5C@ennJ^z=;CRy7hH3_?jCCdOVy|>w2mI(^i zPcfx#-;px2JZ@hthn&plFYrA`6Lv4#K2`Bb{guFC-3A-G&ONI&QvBGGwR?T_!_G71 z9bQ5Z_7Vwm>aZF3y+A@9P}opEpUs2Md2_sKA)8~LU4085_Mz!;uhu(rwkbs+bI|e5 z0(r*eqGpQ)f8?q56nnAfXpp*4$w573!^koU*GXHG)QW_R>_Xgdyo|OcxBmdWc zi#q zORp-FnYnjK`oXg&Vl=E+IiS;^`b0KkD)-}WF@mN6$4ZU}>~scP_0-nxgZ2r$1h9gO zJ%*Xe)tK8H6$FzK-~mD*F~@0VmL3W=vvq60Gaa;3@>(#VDh-INyv~hoEP8$XZEMcB z)*wP_6~6YOio`=~@?L z5Y!YV#A2x_#tdhbH;ly`8FqMBQL>m?L5<5fTIT`Kl@b61T)C}S%e{+q^QS&1Va|=m zX5rB84RR7U3WRiVGusXN(?$$(WW$ch<*g~9T2jwgs#`1lsp81d^B6G7z1p*)YGBbn0V`2uH}<~e$v#(lNed(k zRQLeeg+8J?yykReUGyfgFpJpfO>$7>a~K(;D${si=XWJajGHpf{Oz$X?5;#*-&B9W zrXPM*ugaNB#~&V8o7t>pmkn&%Z&4DgYtke^(O=?>R#Mx1%^y2rjg!F1jD1rR9!rvi zKlMVROSY?X(_=^;FY@YUN_LIA!55<4%L4pPAQR|+YVuLyOjZ$Saj#QSu;&ov&Pm+r zBC4G>@EqYh%8X^&NI0<;!EpDf5VQWrETWSq14Be7#})R;0!Hoy8G3K?yDM0L-1 z`s6QOfryGUH4Qg{emOtV%ifnLXmykg3n~_I&*7gMCep`H+g)O)lTD%m7+izeMBD&? zQcq#3{rw*+whSf_c<-n&R0{Bid7=Wc>Ha(IPx0%?-mr%qhiQ^+9oog5|E7e!xooC{ z8}NT02&WEv}g7yr#Y?S|@u0{_=+|odZ@k>Uxre!t zWsdanYWLl15dCGBJ!-LSNDOcO>J`?y8lF_zZ?rGv3JHHaxVqie?rfFSU?&@@Ona9Iv_<685(wu72*M zX%r)@u`lV=Dz)G5o_g)Ur{tW_LXG{~-2Bo{roUAK_~ z%GxSQxj0tx#Mv*j;O|weDv(>bI<}4$^PeU{)3DoJJ?o)&QZEt4YwrTMiR$_o`Hf=I zUAy5~4}3(7`mPLw5_WZcct#dJ_;A;yMts}0GhZH%)?Mhcx0?`7!frcM!a+NBn=6tz z+{hH(4kSh_KD$117Z%CocGoZdq&{Rf(FbdUyFG1}K`3YN`SNq5_a8$P=K*~pqY!QW zrCqsr&-NU1W|DE&uPlK5c6eNOgJ3w<>H)iW_lBfhrkp8P2BlrTue9z&37C9Ze{|ya z`6700nD+TFQ2+2DMY99IPmpj* ziMYWTcp{PT8NL8$Qujxc-os1ofWd9VM-0<Z-j7(XBIF;PEay(OM zSa#-tArA^QV8!upGQ9eHENm+0B!8N^78yN;`gHXW-*}De4=J&6k7)MTM*US8vJdAu zktkWLa?gWIM%q0G*+6^BVG8;+vM^d&dmOkV-b56BWFOvq(Hl2|^^*`=3l_Y*=A9A? zxj#4;aow~+n;TGZ#yH;s)8biM#$>iPpN`Kj*d^~5?n~7o4HhxaB_w!~{?x{^;fsJA z!mLyKIINzH>nJEwct5tz(6G==A@0kdZl*Ew7kxaXbZ(OOTY^>)-nNNmWR&yJDQL?V z`iD^T(Q44@l&xCOq&Ks}bHiXP)@onzCS=nB`%pG$p9`R`6ZWCYPaWD^G(tVhQ*UG` zN}D_YH@R~dR2C8GXdMSB6=2dnfqzab#tG36a4ZJ2CE%Xk0JNk*K=?t;C8n{vs`9i7 zrdQ`RXj?aE3)0wV9XyK z4X0|=9DlbMlXt9*MpZ?(0!`yzQ6vEsl>KtSJar&~Sjo-origovr~3+tbhv@$Zd(8K z8IzNQ?#i3Mwm#;1CCCFr&TrXrV*iT4b4AnJ1Q^n_)*aAb(75h8{7qO=jN4s7tLT@Y zm)co^a=|!JW#Bd2J_^STzuNfZ>7-sBzt+6LF>g!iD`%U$>AX*r-f=NZ+g5{fW_IV( zHoJZg3D$ov^{5wXww*w6yFrLF$8!?vczr@^QzCmRh_lg?w9-_yiqn)Qd_TuJq>&Ax z1B}&JILyeY;wIXSI-T_!cETSvIXIaXD4(U|!<(!Rev|dyCxDfkFJUvQ&wo^+#k#G? zY4EUT3P6bz+hE904${)(t~iVKPj}6}&0rN5^Uwa(k$Fvd<>Nv8xs^vX0;l;&{Om1! z2VjI9Cl~bXC3#$E&VlSU?pOuaDQByb8i0n-D*dLLc5d%b&679R7L^Q%td=?Av@;F( zES=r}ZOVt&FMT9z*PJUCuK4ES_#>y!CT%!2Mo@QyrP>J|j(~yw64EPC-HUh9aj?6S z%gLiv{E6%hwS4Vd^GSO9w+{kT;$D#i+PiX!hzYz9G^zxz5A+)nAVg-H{gLqn89);G zYY_92N(e@IkhAX-7=!y$poo1y-goVp{u`=y*)5t`+Ij1s%hYXCo?a_4qmjsG!84{?M89N%e<%Q zwj5M9x%N5rZAF{W+F7C5_gx#f`5=CSyWGAA$Dy=0MDju-=rdPpc@IoN7lUv-LWYWY zPWSgY3PNRQGc5EokvMq?e|G7V)l;m=`n_o%NkYrYWFZrB5Ks>C9|Z~_5TJG@;>|^g z=(rE>_#4IR5E(HZgDf@Aety;lR(vtCv`rLV-s`&KxuQ zL+&tF2K4(+;{!L`0hs%`82j{8k3q1?L;=NVM)H@-U@_d`0>rQX^r1T0U|)#?NW(>P zdqGU3(z9S_!)vlzV}S$JA^^b+8B#l9%<)^F5{N6T5mi$vIJ;bL6G`|F&JkRjnxIFv zm@z>JXbzR~A1Ts5Q&m5dz-<|bjE?Bs#+N?Q!h9v_I5TG9$|Zw;SXT9X5|qdT#IDkf zc!*)kZ1XW}3*Q@roYj@WMl)We_>o&5B85{Dz18_QC>d!d<(X(3Z~=XqC(k8%Cpa~T zv%4|(^;lE(VvLgKG4~l*HB~S*gkEh|qvZD_PtcZo$s7qoB+(@FJi6@+o!XU0K{!(W z=817d5^?vmvU9lK=Wt76?i=Vv^G-9kyM)IB9r37lFHD38o60*D#Ne+K)B zHI$UVd0M}g-{6Zgl>mkobQ8wSJ5!Qbz!5C_4SrmZUXo~JCZ5ITPlUv%1ac$o8MD=%8}bgw5>RGrcdqX8(IwPP3@df z0X-uPZr9p87u!%W*-&16V${ooLC(Z-Oo4IaO6xq9c8o!)nFNGt#hV+6DBeKO% zY7MC+J8Br44TJXs(BEPq-fHCFga@TEB$k`qK?_e;r+NsB_z4Rq^ePmvVrr`yo*Cvm z(1DfAW8%8}O#t&}9!>hqUlO{$`o(Pd>bmB+ngSDR0lFDwA|yPYiB6p3q%^2*8avm&;b~jvS>;BYAr16JW+(^OJ6Q% zr_~nCecx2|rhfDQ+K8Yt2ftnx@D&x|siLD#aYn_MNowkx>8aZlb6fV7lroVWz^^{v z8s0*;6yGCd}x(ZQ~rPE4Zd=4q4&`>Va|dl>Soy}6MJ@m(nTo-#UXhCBrEm|^QOay@;Sie~Iws-4g7Vcy2oRaGvFj=E-2?-xNID9+V)++4tQzG8Ux!wu!}m8IqQ&PpA2 z3Y~>_na2H4&tDknhq(igVqFsZ!+T{?)x0c71t?r*q!?+u^^>5WSyo%3ZKF%gz53P- zK9eL(Yo(iDVwNLjX>0Jwiz~c23QCft9wu4*+RzOA8=QOwR}GBKZU0p;;QBui~9yu zaLpvBOx{plm6z)+%PeL|-hv!|>nS|g$L3|Qq-9(k&rb)$&pGoQ#9TF{V&rwS*>97$-}%+#n?QfV}Tb{rgcZ= z3Zp}0o)7BPONh^T>a|1jr9%-913%`;lwSROHB98nbkm>ws7o=5fGCbDQ(GE9s}4s4 zb{Nla4M&{d`eyq`)JfgY9dCuJBhD_5`DkfrO{?qiq6Z-Ej0#3X3gv~1|B`dB&wnf= zKXs|u3!H^BjI#XgkCZK0Js1Pt0qj_7!zw@5sz2+c!k8z-XK1fgNjV9kbmX}De)0#) zxQxO-Eap@Z59q9V`N*UB=3N2^TQJ9fEelI}9-ROFMl9c>(D+PbS$WV@7e<=MLYmBy z|9#`o2lSj1Ea>R7lqrO~WNHmOl;3%Z@##&w&av6%kM69u;C1Bkec{nPQ+8V*jk=dX z5WH7~Twx*=#;YEbmeEBJf2`sOTb2l+@H=f9RjbA-aZWyyEMdmzu+jmno)h(?)LN9( zHBLPK`cc{uV`^3bn^#PJPDSuJ#va6^ezJZogd_a)3Mt@Ilrzgp`9Wh%kd-p@*ENY| z&MyGR^M!>w0Xcc8+ntH~!a!(dcbUOxKV7$U<)pL0Og1#=H%nztzM!s-l;Zi*RZ}_Fth0HDU^XD}ni^ zhtQ2=L-2kO5*f6ndynSOixkhoD>7h-I&iRowu*fA@Xg1~$aes+9FVhVIq7uNj@h~n zZ}_2DyiVwZYgM{XTi+*#c;RcT!>ws!d#^wVj^Fpqc@MHL+&!DzRGJB2-$9OHz%bqe zuHOAuV3;-#7p(!TUJjf>>6~c2-qA2hc!&djN|Qjj38M+Y$LqQk0jFuulvy8n$^jX; zq6Zm|58lfTRPT)e-UqvzZm>T4NFE4@4(NN&8Qp+*F=6ZK_ov*yF zuz$%=r~W%rZH}HpuW4(Jy#^eT@XZNWw+lJ3FA$1R0|AV5eJNx)#St#_;bj=WywziZr&o|y7pc}mZ@W=L`{WPLVgMO`qiyz&Si);pl6OY~(#Z`_*zA;RaSbD&r4K*}*4Y!r%`u+rjT%N#PIFEut}8 z8gl^k7tzMAsPP9J?cjf2ZGAA;a`M=r_ffQzB5WZ_7I3W2N*`w>mwJ^_I`vek6cLDOD_TW8KDy8Jcf3zB|+EM zW(ogLPQJG*$N>~BCua-Lj&P~dn|bE!rIlz`M&4ErFpjvC;RYsLLg5d*+QDyaM{)q+ zexvmcCs@D()Fd9ih^CPXl?Q*KLlR}*D|^hmdU|^Q{Qo&Jw4Xc+=S4nfse8$X8smSo z6g&L~+GiZVS09bVJJG}5A0rARRZOxvRHxA5kTx367xv%qwCWMAMm;&PeANM<3g#jIDFT8*jCi7u?+1Q5L`5Q74t6{6 z5sGOO)uqJUHmVxLapV=nmeHC26#kE?HZ~CT<|HSW=L$Or{UVrw^@Bh=4M_4tgT@Sy z_RL};75D2D_D2^U7rAOzxn9%sb^GHf`||;sm(~j_UkxTN>oZ@xXaJTtReNS+xIo_Q zcedipr)$i;_@`4l8g@|3p-%zPdO{Au2eAS10>>y zly1b)1#@xt^;oH|uDqA7hVB^bRWkFfpZ!<}I{3mspKuA=A)``1Kr}2_9q_Jm1K>;p zV28~<#eftcZgx9l5{$#r>gI}ryVlN^4RUXXOoLHaruWTgaSvTxMR2>?+k-)f9gr!o zl!3t{nA*T#9Q@GU9t)c2Xb%N|7(3cyKvf;>5g_}H_IS`qM|%k9!o499MA*?D4a)9l z4+rUYw8w!+U9Kcpf`-6n2J3~5f|_3GE49^|oL4}RRm8V9j z8)c2pn)i&UXHuj2BsM6LR%CR8GQY|9G{XsA;0^7*Ouc~}6;EEa_W`}0Vt(^IG#VF( zOHTuzgGl`|aQP``W7x`R#1pa!!``k{G*i(2dCEL3<%)VD%r=UFMHIZgvZ9W>1Q8^gEyS|ONR9~ZRSJI*oGGrU;Kah zXY=hba#vb}#POPCGGDsa${gkG(iw$uTZU(AvF=i{ZiAiO>M8uI$4{IV7|M{e0)SH= zse?{$3)uL~8RfV%_ry#OXyn9B%sYUT;ang;U)lUGKdV-^+Vae??b!)It`Vu&i zv@qGpz>`jGS-~>Kr4+=U{b*maFCAK~!zREjXNP1?JueA^vmi)O<}IF8T`t07sRi6E zT5YSbuR(>=i;dl4vi+vkSPfw#CpH6y*8ieDs(Rpk%BHNvH%JnOsX^)t7uGO-Cg)2b%f7NL3B@@-5@oMq~2ML$HC5Q1tjUDZ;~i9NuqYj zBLH(!PlT7PViJ03B1c zox;XAtRR=LYSWIxB{Of+o}|8CyUlS$Ak{c&ObS5YEmoyU19mWCFmj7;6}NN!leRQB zhin~zRJ5BWz%=<+cK#MN1EVp%srdFGDmiF*dZ$9ln@ak?Y8`$~31T!Z^Y4)Fl&HX9 zkY)pJQ_+hGKLcfw(fkhTGeu#&zocByDwfRw6eFb~3xyh?)=%?oVw9dU)Me=JkD=$g z^8hb4_f>;fd144=mIc5(RLokIFeQhB@jyW-nXpj)cLr=sGt>!`TA;k3j*zC(KO8|L zV3N(={BMjEyDahYyZn^{=i0K;zv5%EXtXKS89J#j!f3Fx%CS+^y*l%DxCPaCBD{i? zWJn4*?C83^`txow8PyQOTx6+O(+H9HEI?BU^{KNXGB9i7km>cx+dQoPeyAg($)kwZ zFJeWbWKdSRW6F=ZC`;t~mWoZrSUNST0Q2+U7}>3pdnSc%nR`YdVU~IIuuhq4lsQ0FQb8dT1h|wM z%{Ay5CWM;O`H^h18Lu(PNjno0x4^0Tsj$mQH%>IkX0a8kT23wt8&p~>@6`M{*RRv* z_>14Ob}b0;*PKo@+k;I77Y^ea9I+lkTzKYYy=;D_@-mJ3j=`EmsmxJ6njfC|q8%Xc zhd^+Y(}onw5_j`22k6f{e7fu&N&qdcXw;!6>0m1L4ZUHiFYea{&9T!6cqrq`&&j85 z_Hs!kiWTm2DSX$2T33s2w>2Ck(;b>tZ;!wtk@{%pfPSjAbsDAQdPjCQc2|bNa8>8NSrofS)eUv_@zM%)A%K>4TFUxR z(pfS-_4flek9K%gR=^bU`HA1%9IkBskVl8kGA0|LAm`&JE~oG{4ND?Ma!r;}21nmG z*K`pQQ1+iCs-Z%Rk4m&&81Xe5jWrmNF^z8XBfGW8W9kARk*Wv zd+aF-wVE_cG6Q*kIAR=90KJPo27a^}@=m;?QNtm*!*3@N z{jevE2be54D@Td@&^*uX^J9cK@NWI6>M*y|N(N{>M3E|ejt{)QpF$n~eXYJP(rI)G z`z0biCddVdBzQ452he|wh-H^jr2Ab2r$rx2zw<#rPxDN=5E^$uUdU)lGcn7?FlvB-Ju5ZwbxcmUAE`#M9kbZ8nA}B3TX zOx1-QV7I`6X%%L>{sIN>khd8he^bSe>b;ILELDKkwEhSCp%92i39(&#C4V;dCs`9 zOqb(p$Oa0OrP`ULl7gk0?p}O`B}c8RNaP{VAo(A=BrP8RXU5J7L$1v0elhy^_oOn4 z_1*&uTs5cm#ubqt4W;}8<#T4j5K*tg5B_$*;2|l;3~pJSg~d7Bj&iicJncjf+-USq z5qnbg@#0{^Uy{kP_NOnoB(!_`$AFH}uS@ELHV*evs{=uk@00&R5owJ%zJ`yLB^`R7 z6wQ=nFH5Kyp=2hOevyv4dN24s4|F+yGEesCpjFDa7!~&Qcggd267$IRmsIdpTP$_C6tOyRhoyW(YAgTt<-|s*px=1g znZf_tpuX#m@q61-vHKsxK~witr=~$SgsHDumI*ees$SnL6Ru=_sjpmU-owQSPv@zu zkZRu3rV3Xyd_Yi!XHLL2D}%qZC14Q(*n%{@yj{TW)7vGm83ifP2h}tla@`A|{3&KF zKb1=DDQ*PVoLb&1r}hlw_f|FnDo(lMh%M@>PPbm>2pRm<&EHL(D^4AQ-s8tNHCOxz z{PP0~8d0BqCTMtlHz#$s7unun!>Kw|f13bsuZQtgo^r+!o90)YBEDV9rv{b)8h%2Q zEsd*A3FC;(XDUzi;{wZqD+?;wG*vaIl{E!yuVxtmVDQY(JV=*yj@HQk^^Y+=Xt2Y zmdiy~B59JbcsT$b$|A0@Fnj3~J+v6k%QGC2qe^}9ZVG8765 z_6XwYd*o^kJZAYG2azS)j{HTm>i#MzVK$d_MnWANL zA^m|xUN-+3argbwey(hrKuB?L(I+N?@yY@@eHQ^)dBBpO`?Is13!2gLAI-;fSk?h~ z0+wNF0+t~Hrw9)0rhAguG8oquG9DtTL?^-UAZYOvhdL&8>uET_T&N@8aE&8 zmYoY$@6sQ{N3}5TZDHii&^B&9q*PZR7Q41GrW`@+;G3)7dWHK(JPzK zQ7JVn(55I9zSH7JWh6OKMYHiw@u>(5=KSQdg<)dP@UWtmQE`e31<<`EmdeK)6>rRo z-2Y(Fv)>D5W4Q|=HMLts42CyX&r_5>DmV$LA9ciH@qm8b=52{!lMXTtxqA9FS5fj! zhpDQq1$c_>&EgU%WwcVk}R21*4EZm?cb&N>#OHqQ@#nt-!=WmKlwiR=Sn~u;6DKR4vbBMLx-KH)=E0j zR%K4!(7+cp(oOpx0OkLG0MyMkpZ7}MP?VqP=*6HalFSexF_QZ~0J@UtT=^dW-Z)o7Q(^uA60hi96H^JU`IN} zRo#(5`)++tbSD<7vTCo_a^v~?jXl;hz7r<747u>eAYY|k)y9BLMUjeqMmx>xG=YE` zrvJm#J3vR$eP5vII1}3w+Y?PDwr$(C(?Q3Y*fu7f*yhC6#I`4T`F;7n^@W7V@Y9Yqy)PO=fht{UC<2;M-%fDm3wC_4D-2RZf=_sA_UZt5)R9fyiW=GmwzU5 zK=oF3EWS|VTszEhD!wAxjJf;vj zH-5c40y|$rNPg3a3`Ed|BcT-;qH{VYRBzt?Auy@vA0A%$o%@{Z3&MT;9c)JklLUSD zk`NYE6excQmNz7}M!76^nn)9mlxiviSX8$KXI`$|GJh8*;7bAZReSO}bgY1`W$@%B z@<0Bw``uZ-$+u$rLv8sA12TWaGqn3XLE#?+#U(X?|DQ{o7bHJqp#RVo+#}fwTsElW zujM1_3sg41-x=`qg?+^Q$d2b#^xtaUtzh@_VPMauq}LHsx6^RY>|d_dnS3Bq&&?(H zt7zvxEC>x*d)nKkq2Z2hsfU2Nc*g6){6496&X`3_pgEyO?$=h~pcpRVbjFa8>Nfz> z2@mhzoXamoBAs*QeF^rLrT095?PUQT{9vByG!LZS6Am6i#IMM@9=~`P`kg7SK*2ok z99JL5un*c1hbO&*HzV8}{t9S=dHpife}mOdxMLZ0^-lmJZjZ90y@T z)l2B&%Xk}*1y}gGUHBSyOPJN5pLwh6F*(?52d(bzy~9Xewf;eCPBlNs4*G%-ty~hA zU*6_MW~*h7g&jC;w+Ib?=o%fM_z>)9V(icmKkEIE2lr{H()pk@DS!gl{dw@P|Ii6r ziIIG9_@V{%Wgd9OU{GD`0~vY1L?g$tiOcIC6kfn?iw}HP7?fN_^;9qcc7;}+=q|w- zc_?;8E5HmneZ1hvS!B|G@5i@6Mz?skA(MY$4SnV0xxxJ)f@v|Px%InKSbm#L>)Sy~ zGqdT7w~+NnV3`~CUjvigxFhjBF*+M*N;*ws`1Ryo&tA8_jt=K2aJ{N%$Ze*M9^v?$ zl;3p-{pyllz`Fx7S|Eo_{Y;y}7JoA0&%ydKea`Awv%1LF1~^>KIe4R~NWXg|zxH0KN=JoA*^pp`$ib=Wahk%SOr+XBxRFc~o14)*fEEsHsfl zOYQKyFCR*)d@XRq_n(2jdV@U3;#J1t^~!^FMS^t&m1G4BT?-D$W&W%b#r7whEi;m% z=(AiH#~g?QA#}B&nXJ@b+LI8+5`RzuelIV%n0r4`ONStCZTlKgg(HSd>VSnC?Kk4r zIZzDS=&pq6_Z8^Ys9bUFyf#!9cM_KtI4^{lXhEVvseWLE2BBaI{_pszq5i6pz3B;! zR@|oeW2@Nwb}DKUTDqB>^PsDTnZ7@@Uv&I9U3R*x$s(_BVT{peooJ5WRMgq%L8Rp* z5M?jo+XksJMS6looY?Odgva>zy4I3Rp@MX5RwqA1Q98}lf+Qht_Va!2zUBkK&%;LW)8Q1AD zm&%oU?7@FazKmI?m$lP6v}&(+||%3WRgqZVEW+#IM&&BBv}MtHV0i zt|Ue}J5Y9R=XY`AGtuwFNm?G8*IE<9vPaWupEJ3VY^H}M3>QSEIHcv(DT%cDC~38$(;a6Q zzg8@0LOofYOU;Dvb>K!AB!t65h_+@_N(@ojSW_3C~Q zlnUAU5}tmjdrt$sJk<%~H%T5&GQU=htz^mnL2+0yMC=2a#tXTc(G{bLz{SonC1 zEDzBC*uXXJQ=keD2^!b`I85UeQ~IjwrW6kyFQ|0$a4fVm*+eWpPN zQjCjq(V%}^Wkx&FA@0aEa-Nd_xBsy_o?+v;hm16XvCA%`H;xV#sZZa`H=?6HQgdAb zoc<0C2LR=fUSJGmSE)5;1DAGJ?)Yg=8`5R7)WTM@IUCGD7Ut z);8Z9qdmbQCKcm&f5NWu(VHx#|G+krs?*w7-9Il>>@7D9fzi_ zz2hu$_&wPdZln)}lBMOyFfSvU4A;%3gm0o;Er?Ge556l}%dcJwp{Fklq$n|YoeSE7 zpZ}q*^ef9lPv%#>u@xpTf#bxsK{F>edPAocd@2=MSMww8bw^MLE9dnX>#FmiCOHEM~T=glSe=qAV8f~WxfvEjHiR%MP*73Ru-S6=2RHKOVjYD8J6!Go<6 zMKO#tWYq@e)^O2rr^T&@j<}*E5V$f!`EqH7x9D1EVN3}8xSNQtE%+cM3o4Klkfg6* z9)*2*T3NF{SV z56slKYEx4x+e8=65U{^_=qMtid3Qeza-OI+9Ye>W?@&|`Y7PnH1N6#qf#_&VFo-j$ zLLG45WI#ZNPJ&*FM`zStMlR6$BRpy^loM>*#{_;L_&pT2cq&*Fw=oi%k}xfQhd6@X zER0xq6vkxXC9&ytuq`w$5JR&%$f1lt2%nEw=rNl>2{x??j#Bi5k^Rft87M5#&^)&NF!p_1s3ebjye>4ciqJ}Nxqu+=jHAHz!^ z=#6}AhTSr2$<&FG4@0qw6V?a=VvwxShB6bqlM6M=A{ip_(Fi>T5cJykNP#Yexj?v& zzy{bYaVJ>JM|0HPGbdQ(OD0kf_bv+MHtWat9?IZfeNkBV5t78MO%Yi4F_OgXdQuS4 z*hdw8S0u`LoG?z*WH^c+X{bWrWF(3oZRiw{J_^Z;3{oC)C$-RH3xN;~L2&X&6vv9) zt04*9rkA2VP#7^x2smTqu@wUb^mWA0$!s7M_^&FSj7L6}VZx%OZ#Q6vF}wIMu|1&c zm`>d{;*P)DH@@1Xc#G$?U_<=24JFZb=AkNQeOZ#2_wB7Jn-xwo6OUQHZ1%nvgfttw zfNAtN36&!c#z4lo<$af6re`CRZ$EcmC9UyTr7){KT3zG)s}j9HrW6Z|$@*#d9G{-v zxyjArrX#p;ls{dEQ+#?h-TuJ{Y)Bvqdx9US82m*s^8ogUD_Hvd#Bo8Xu9!gQ`&%rH zKf!s1ZYVy#{arN0ru&j!7bXM&`NzzN^D*PQ^@Zj|>_D{=dxfSN*`W%mAUWHaKa?jqN%hMGjk{d z#OyGBYELBqqMj=iI$NM~9nPM(Z(rmh%+T7nx1=Fskm5&U#0$mkC3x4Qr(R0yxJH4# zMT}n+F0&Sd$4+vkRGP~~4v2Qh2Hto?-+%UG2y!t2iCB_LaZHKT3ZZF}op=5i|KW&?;q{3B z|Ao~<2tc`M*8>p!>BlbKfZ9()?FXp*M2r4_xKCsq1o-}mih}`ZpMmtWH^<5Q5l9Rl zfyD8DffNQX_-r;C0kDGnFBk-30iyrKM_4RC`E%h$-2WSvnu&nbPa9_npz!~erU67h z5oIQz;S+sCRMCI6-c7-|0M<{`mIu)NMEC`OD8PTgmG!U`L!ClW0ANYM*#;+Xf+_-h z`)u>07(n*lfSL@-0db$U+X{d?#D8P6tNu7-ApI{q&!;9B=D@(fQUEE2@Ze-Axx3(S zDPy&O>;Kwy*8zk++W~+6-p>Pofic4T|Jw2V{>YYCmg^P32$`g=E31rncBA6FAM6*2 zf+HnQr=*+-8w3s?ZJEMjjyTPoC4uV~BqI z;)D)#uPJ2BaZ$EMOTxN-qkycXy)ih}fE1)yl8aDkzzc5AUqf4+UY|-_6o)(caj`AP z<~>ZY(r5b9hTnCifU#+%VXT%&v>?c!0O4Fzh}_|1G$(Gm6;{aK$QPnIXft6N=&#k{(y4 z_#=$MmOso{!I)L*X@7d~7EfgCyD1m7PtQAS`(hr}UZMW~L7?2U-w4qE^aqJ%fYX=% z{^yQfK+S)im*Ox05dY8AIskz9be8U6!1JeDc8&oEKW!U-085{?mT7>|r|s)JK;+X| zPIF6sPkcC)03d}W6Y$|w=irDbxr>0y|JIza1X%s-;kX7!{ag#eCIIzw0K{7WLB#)g z<~N_15WbIiBS{g|1(2u2?gL;_tS-PYntp8oBtCmR?f}d_k>Nfd@)KPg0&G9mq<;bs z`)`A#Cm(08|JK>fx>|DZ;l+RyfoA}7lm0mX`m>kCC7}HiQQZLMKhgUg!2J_>J_1@l z5yvyY=@V7F0&@OCzh%KEKTSjs;3=P|1qwXt6KTSN|Nal9e4M$3{u_=OJh<@Z!c0W) z#m_zAh6*nHiP|y1$ko&WXYQZ*Q+RU3b7kfPq=SrU*X& zZ*Sj&!!}uyfiwQsIVF=4oa?{sE>VJyLi`u>iMoQsm#ko5Q7b7mwBWozNok$bDgH9~K!Q4MWK>9#{F(F(X$xS=46PSj;28TIq9KQ)?! zdm_#mw(+5vjY1T<_>8I}7;6-AZ;6qRfDA@L;FOX~aRtq-jg^25x-kt6QrMA*ace3X zig-A#AdB+2(!eR$+aI`yf`K(+K1MhpeHMAlo1mXmSPFx|fO}xs_mFteM0%t;e~tVI zV+dvu0`Z^8{|2rguOYi&r~6D36O}fC{S?KxdI7aM80AJ#ulX*<1I$utGYtDQB|H`2 z+alXZ0pXgP(#9h}6*R&X)|LkdGo#89f^ z>wf;rKpC7^i5wOQdm?HCP2v2qz3tx&%GM#>hwLwMaV-Ou<`w<~HIFkfst;fawu=W$ zVyn+&eEce_X?aXw{$?*R<>NV;BjUOqrawc!AAIemkdQ9Ee;W!pB|bGxp@}b8<8-~P zOIx&^`|RqvOIwEBL)b~64NW?(o?)l&cB_ALwujwqq^zGKgkc*?w{+=@{TI>!!$OjV zhm4~M20x%FI8)=}Kn}zo#Lovo_|#>4(P_5epK-ZA#7YAqSDTg3B=kvf>ID+C3iNk`l3_M5+lzXksxb~Jzu#4AYMBPEPz zn`z*c^e6Y?Dwn7drh?E*X-T7ja;}B5g_PZ2pdTdbZh%Afq0)&?hye-KpxC< zhCMlTQ4hExNYC{6YAw@Ftalrka(Fcc!lk>$yrKn&Z&ag(FOJxb!{=k_7DR9cE-`PY z3;?wS8|Hy!+qSCh=q5Vt_#!vn@|f>x1J8msIvyA3M5WupR)ZQIwU6>B87Jc9kKc#2 zBHUH4Hi@leyK*2kwg0`c4X;dJmaPm)2O!{5HzrGz~cTHcAbOFI1E10`_kJKMAgZ+H{D1!V{yS7U<{q^By z?BToer|qS?W+MEwpOZLlR~M>HX6(7UX0rXbACqEAiHej_7+%tePnCa=K~qF-xL(oe z9yMj?AAJSE479n-_Ei&zbuIf9nWG@S;EiSr%X>W~ZQQO7Ro@nE`uP>{zam}IwE%B3 z4%DxYn!2kI3O5D)ihlaRRE(mKI(?@Qroj~(aZtq-?Ao18ic7>O8>Rk7C_929HK_D0 z=0iYSL( zPl@!oCL|O?3Uy)e1OF3r(vXTNFagp~>|P|HQ^P6NMa3&gjZ(uY*JZ|ICRP>}X2z=~ zW=ebrL{-p~6&6OsTS>{~7ly=Zsg}XlX%7ghmZ>MPmpj#!SE?qtmODk1XO`2Jl#L#h zJB5^ImeHQ5?$N6*=hB*2jB+8&UDtW$nPM732bs^!Cx+6htAJpV)KnLO7l8}&p|lZn z!k8y=aEl1(YFJg3bozRFc;$4}<%yzVESD+s)p{Lva;gkL?G{%nu1Oz>tF6~c^)8aC zzOt4$H%ZEtv>NDDRpn*yi_C`v4ByL&i_6WbuArSwC9Y?22)<6BYpH3g2hB-`jjVXG zZ#kS1k9V{Zc+RIYn_i8x?*WHvnj0H^ME5}D^A*BLrU+Q~@^Td_lN#LA#cQRw6++fR zL9{h%xlpQmrUlSTM9d15DoJ@Cv=DVQ!eqIA2fdE51&6bks%5E>AnfvX$CW~vwq}gg zNrK7=x>|^|d}3Xgf-PZ?p=_^Qk+mAL1$yjqli5bAm5B0TzQMQ(H!!SD4nbY*C}{`& zM08bxK^|6BCG|)4h3ZhUk{%mDX;m35V#@p?@hVwDJvDra8px`!b^$3JorZ(upG#te zDuqZmgPEqMsir5zv9rh*6eF%C`W|Sf?QwabJI>dwt%Ob}fBmY0D;TRNH*rXZ zAFoNnUN^DXf;3RRj}VnTi0LqDCT-VxC~t4?o&QMM;yvY30|Bkg5_bv|pp=e@lUToQ zF7#U*++s8sGi4#&J*K36$OC=ZP!9dphF4TkQ67F*RFF=B8iKRc)7s10D|{%V`p0zY z&*@BMD>pmT`arU5}rU=k(Pr{TcGwc1h;CU#>Nzi-mEpoA!s(@s75evo0doDR&AtR3!O#ztu}`_+7LmHHwyfFT>UfSs{5o;1m=}GYJ`GUR06C(0e$gaasPT+?t3v6<6V7J~H zyfOAgYNlU5Ep>5tfUgd1jcrj!uGd5M!gDHNx$TN-kC5 zmZ<->8EZK3P|v;}3$Qj=x6qh_W|mTGW7LY!D&7VHWgB|ZesOmh`FeRmmIIZiaX(bt z%hy7~ydM z0l!@Eym||F$!-YeehmTKZz54g;l7mgFi{qK4Ui@DPB5gR&JXo9A!>j z)?U}wQHdELgcC7#K78nCh@Fp(n1VW!@2*q~sJHliG@y6@t6^9u;HyEi$BxNN+33@P zU*Sl)w8D4a^c!k@QiS9UO1Z{*o>44)4&QvJ@&XT?efH^vpA7QIvg;PY;+dx|b@BIp_~oK^aAc*;p=(r)QUgqe0$Oud4z(~hXC zfI8;5N6e)UY%Cbsw1frbO^9~kOJc>2dlEmyA`&_z4e3&lxOjer_p`)|&qi05mxrO6 zL{kac49t*(mU!}TZ-(JC)T}|W*bKZevz+>nxly}{6 z{>~N&r%OfB#7LiVi8jpw6X98G7;UBW09Gw20aFj^YNO{O`DEunUjJ()$)hU4b1;0` z!FAgpo5S*bHbF*iZ3y*#%*fODTNp_Yp0owP|GaMg69YrPP4S~~!yBJs)# z^EM^ckV>1KKilT}lbX(yl`L0fnNk+zZJ)jG}J+IqBtY;X%JPD}zgfFT{~1d%6kd4ZQjIoK@_IWF<*Cu@YVt_aIFue9j1 zlV~&ueHy}XL*{O>3jlnLV-#aGeKme$R#}n4X*kvBf+RmKdzVsEsKfFpUfM`UhU+bj zUe*ZD&S-f#8ohRl5UDb4Z{8lwBV_^s1SVY_M}tsya74jGZZKm#$-yr0?aEVWU(#P* z4km9GDq<&F@{g9SYjZyM(?q;@Z-dp&Zie4Ueh(yDMm`3N#aZDImU{BU@8kBu_if1A z1cyqB@{vD}sMTOzGj&eB$Z*{mgpLWd979DM)sT=k8Y;6s+RqGKfJCMfY`X zCW%&v>l~gDH9N*9b2}ZtVvxdEZHbL(b47gXxAm54*^aA$X*odD?~L6&oWO?MCet_) zsS|3RW%)4%)-F!Iru8TQuEpW;Otoc~MHHjua$rvpjj?Nv!CefGpL4E;o-RH_f?6yN zPoi6Dmw9l%{T#vq$l4L1Qe9%T)MYT_2lPWpkC~r*T)fTTzG@gyA922Ns%R`q3VT^% z{O`^?#Fd}CD>*m&zPKt5Ro9`DL{|GL#vqEHrl{wcl*)MFDEl6}YEnfX8yd>%2=9rx zfw_vR>R4YGO=bZ5n8%L;9n`(QcRV(UfS{d>S`S<(R`2C<2TNT9z8%yc^z{1M3_UEk z#l4PNSaxe`5a(B5#Y}zXezc}&Ycg|3EuH*Wel4e->Cx(TdQ|l4pPWQDcq-%FCvFTl zUh!|Eks00!+_;XMi`!@8Uf+<0*e(wJv|O?;BcUv(TV{vw;!*YI#wRVw3(lVKA!Blc zEn|=$JREYl-q`mFP$$aF7M;mP!b)6T#_m*Po&WJxi^t9Y2gyd#DouEji%y!gzEM&Y zzpCgtzf?5o{+X~$`05TU2?`$TeB4h>S!9KnK<)d`&vvPma5`+_O2776`hknwhL1N>eN|ZaRvG^uuXMV(Us&1XU-gbIy&39Xc)vMhMTR=` zvr%zKfCofXTM{DwfD}!rJ;fbjwpS+3w|I+Vp3sIgx1s8lr-3s=NrDQSh8&uVk=BDH z(=cd;sx1o2j7p4ZNz!b4N_*d+A(RWMX;SFaOGlGb{?SHnb`u#)Sr5*|pO)_}leAn4 zwt>}+S=Y08yHRu3m8tI_z8K23Xg#3^(OVCW0%ywS=Pkm=M(K_h%0}7oy25w`=BiDZ zDm3#YBctTfEgjZWg0CPuAtv zKy2BTAYR#vkGhPu%Pc2O-cE7Vw{qGCtI^HGXBAVrj?MjI+LC~lVw(f(vM)|+Wv4TV z(56j;7YgU*4j$;>_Oub~55ylisnMgD>2)yZeo!fm*C;`7mKp?8iJ{>yWQ7}gimPR? z=Al+V+3{<*UldfjX!eL*x0U6!FhtCQYeYdX$PL>bT5ody0)8 z(!hTVWUNlu8>_!qj~b8iPyI0R5akm!V5c_azw*{m&7Mkx7{zo8ryEsgsu+My)a`61 zwlYk=CQtfNO8Y*SSdv(xx`#XSUcYh7_BK2-RgADQf|0G9bbuRW{}x|R-0qJF0%Eqn z(0voLykog5pk=Zem42~LzplHEC~lXkI;tuCQPe4n$-bqq+?rFQP5Z92=PK(N zG?`bysW>@S&W!BERZt5&4SZ9C1>%v>=y8URo(^yQRGh&1tBCo6uT3_oko15XWpnM3 z2YDbsl?#_gBRr5ZRv4m0{wj`T$+uy*R{h9G}jUS5|HDj#F4 zF=k-&BNprF^Q?hHV#-WxT%z)OY?pd z6SaK$!sbWgt*`u6;xm$44|Fm5y47Xr1hppSVxl?4(U`72loX=9RIi>PJs)L*gja_wbM`p{VxO z#c$(0v`ytcu|{}#X~qr1xmlISZHfFpQD4LC(z}Xlp&K)Lh|wFG-huK(SL#pJ5*vZ@ zr^M4Ow#rF4DtqRtPf!<$`nX_sgKesNoU&ZI5YR!&dX6(oav^ptrAN~9)YY*qiW05A zf~g&v&S{sSiJB;XI?&-eVkT14)W$r=J74pWj(~Gr-D}$Rul~Tn9Lp8CTe#loRM<8m zhf6kZXNh>EzE?fHyfFXMp|L{Lp7VQa-iN<*yL}(C zI_^tnl2|;|h~^*5ne}1t_z55aH8EYMu;mQatR4&@&G;}JNwJUX-uxy_$E^^y9-}*9 z)3=r+lfU_$r&B3#^E(Na!rk$(4NQMrFYP82;L zo^>Qe!eG@&zfCEnZuHzvB)+Aws>}9<0o1y2-6On0{HHOoD61Dzk!;<0K4==ee?r2f z4mx3bBj+!DLi(84N?$j&wEpNDguW!M*C@9S$%PZ?1$mraocnbZ=Q;~$NqiAW@2;p~ zR~Rky$s(F*cL-?V^EIdXD$OT(u;khjL5$PfN_&T-Q)Wl{=?YK3H`a8D*6mzu2g0Lj z@cG&g517@JSIfDqjprTRQB|9=Oo!(k&{0}zzU++W9Y{E}6jZ6W!_}TLSnnwx?S8<^ zwh`<;??JVpxpU?T6Wz3zhG##qYT?61RB#p7F0s@11PhEN`V(rUv%|n%<20Z^UV+t?q7~ z|22jdrCoa9c-C)=km6I@H!(-JK)d!Mlw2CsF0cJYD#$#TLZA8)f!L0)yS~oo;9|Y_ zPiFBZZ+&DI$Ae9BxcLCDy6uZkqqcpz!;cjPF`#5)%Q&KSJj0otsPG@Ebe!Fiy6cmL zJF1QO*!7vfRN!tpNO{*70fNW87|0=Y?EY>f-1|ei-DQHZ#SfpJ(xTywKY5o{N++sE zruy%WkE9XYw<+aeMX9&%ei!I0&T z!~v1lzbQl{tQe(zEjXdlcmnlE zY@Cpg-0)jq6{`66xK#g(IBQ0?4wA>|C00-+tfHs+75^T%gpYRu^fX1s9l!oU%SZ3v zK!ZM|AWc_p)dyX(tJ`!Fz&QzVwDewo>$Sr}i^&>6Grm zUHW6voo;(>fuNowU?nNfNI%u2qw{ti`6lVrkJ_J?T^`NN_CxXm&9c$K?Y`DPQ2qi} z6_LIh&RDclMzs_5fuF*RDe3%4D$^6KJ7m@v(B#s%w4PW+ktjKbBz@oZK`GEa`)1^D z8>931EydT z+JNIEjtiS~zYn?!wB(hpw=)co()JW`7yD36J=CiArrgF^5p^te-_LKd&tnjsrwKSP z14(qojF?JV6Y#1`f9dj%!g53WW_v$C2|bMFy$deU3M2ljuty=BxE(Ompd6QF2>YF1 z`m#-eAdM}H`u$x@z|L~2`n4fVuuCPb!7=!kaM$RR`?*-?$v<6%&2ALnh_2c0g4Ici z|3bnK@#`%VHW>|7l61&e zOscEdE)1Ag$L&JZY~Y`Ptk3XmLF*&uM(*|AOZv+lr}n3OjY+l;P~Qpp!TgDBCwukf zo<}a5;B8@gHt7PUIiO@7{;nfx^oDkk-ME2|*@AUq_tUqlU9N;Kib^qdEW_cZ(dx4K z^K(kmSojN=F(cbAsf}!t$Z$&unFm6jkic^YFlHi>{nfc=<|8ZzvKq$Lbbwu35qV>z zw*TYyM);mgYw&LHENHN7bN^kB2KEZhtsAU$9lQZ5aP}jCPZR1ncV6sJtp-~Qljbp> z-N+kkh1tTt5&ptotpzHPo3$RWBAF`$eg>c|#wHn)&Lz}|Kwt_2IB@OuVZuIIp*vtH zdBqco47we@M+LnBF}(50_=Dsjx`6>cm|D=NPxYr<5btpsA`-fy?8zh}I!w4``1WKr z-|IZ}IuD@n#WG|OtguO`1KDx*tnF3iE7tu!YFhvTsw=UvhB zyP#V8Y^Sg}`iPfEdv=feWtoFe$EDH64V3r!>f#~6z0x{>M&0FuoaAD1=W>TLV7hVk zoV%-8V7EWPZm~lLwwmEjcI_-69nBSxDS^Aics#gVo>RJVs&lCJT**Z+1d{oVN5 zVk*9SZkf`SG+s}5VhLl?D+ix*PnmN+e1JGo(lK%%d!O8Mz$X0k8s{S?w0CegdSQBy zMKi|hzhW%{%(@WF;+cqK=j&A?;pOF&*x%FUX==NuDf`X-*|KD>%luqQk#i6B@DmOXIG#Wr$KTdoHRxI`=8GqoA3 z?&a|S63T%%r-nL$&vyuyATOj`WknT3dr0qz?ori{zSz>9yQ!=VDLFP}M6|`cE?#oHP`pU31iQmZt-Q<%b|^bqgqqB{;&MAW4U{5QsuhMtdyYww;xM0y-MW$PD}`W|U_ zgN}ogBh2Ds=$Ns-jC~56$t=#8krzMeN}Q4BEF!XY%UO|Dpm>V#wH;=Kaut?k z^>?5o&6IRIc#1B1g_bb`nA}JE5HYV_G@Wt>9g+u?rVstxHQ^p1Vjx#dD2yQQO1V%4 zChQ`giU;iqQ!~up%gk*if*1`W&e4jsZ2h!$2gUb~3o2*Jlm~tY8iiQfV&>B_49rQFa>$p`it8c~mB)hhLdv53V@}Q6uk%noE~U^*eV}_& zEoYJ8zn8yoW{e^`GKW>MhV6@ThiG~Mhy2y+5!p`zxx^m)kq?%Ck%ZsK|Gax8f_ z1b5QUf|E^x;)d=WDGhf;4W{IzAO>j*Ma-5Va0#My4$gz)H4kwP7)YDG13Bph0kha`0-(`;Y@&vuG+3v7CcG{;7q3wD{4L~qPeCMSAN-x7i zatgiEn=;Xhc~cKxpMfY)FMgK-VkQcxhDbV#4qJx3uuQ)a*_KEboUy^IqSc(kw!)ay z+8mB}8F9u#6lgG|j{ob`S(s!?f13(|@lf~|MNzCFtx$=jRQUnwqtB_}2glSZhSefl z{DMbX#f8GzH)ei%G%9Y{mIVUknZc#|aqF~|JB#pE(_vRg1J%$3wd?V;Z#e8~G4PLZ zqHd*Y!`y3*r~q9iHwZak=fjLSsndK*R?M0GTVB(p_57 z;*!V2>Sz4hM!_HYahfY&?Hcw}4W{QY@Kw{ZrR?2QoQk5(Dokj`QR&R}qtg8`g{?UN z41S~t#tDXO8G(Klv;-5yJuIixr6#QOwnZz69eAb})TY%Ov|AQ7BQvF>S{kPF;5VAT zr^4Mi8ZK)Tb(IloD;R)AIT&Pau9ZvdsI}HRq>9ooL;LwI6o>lz#wuN4Mf$KiODszc zSlU)DU!$Y;~Z)b^XEc%OZK9!P=6KMtw^J^)BXLx>Eb_ ze(4%ieB7w&z2Q^a`nW@Z6WcA({1-7B{rHs(rnT=-kIN7{6E!@1vhWm#+l1ym#+mmX z(%ewK1`z$v2IG(bSc1xRLBv_qr*WU>c5xlptdv)5@j{V=jFP~_wfGn>cA+f z!gq=*$$Bu&dMtpy<%5Tl6@=H9-eJJ06Gk{A>gK8&q){yjbk&9FSiUQ*icW^LP7e3P zGWtr*GWm@qmUEt6W)SSlZHNw^L~PCBVK9j}g^2{>E^%t4EEnDunvgqy>6zn5{|MC{QxLbyLP z!-Au?>}(JofZsVn49~L-B7d_W9FQS2KO;8R=i9yD9SxENkn%MzByMX7N1V_r=@5|( zxN^|Aq;z3U*y4vIuR1=qLTHc3C7NN68z5qZ8&$l)TF*;|w*ub3Mi`s4j?vCa=$Q;R zO#HOeQ7MjAOG6;x&;&J;h=s{=knA4%v*)9DD};qA0K-BR!^8~`R;^JDGHJTMV;Cf2 z80gp}&GmP0ng#Qx$4Z(Aa;CR-P5b*MK;2KU)YwZ|2)+xzuf$^Aem{PJ6Nkq;u@h|j zr0i45Cb_;0$rJr7;8EY+jCg5TzKMpP?0jDhtH$3 zb~LIc9dTyyMfr^F3aBCW+N{Z<1Y6E&mFj&otsvIc!5(Y^Ia<$uY7-z6JQ7b>dT{EB}qstNrzNb&_ zJ1eAkGA9v5|Hywkv{6nmFJL^7(#^I#zBU=Vm0~m@Al?3UO|7`B#1+h@W?JNQ>4x1c zKNa^5hKnIF4JR$U?6)gB%QuuarEOdST#ubAS&znjASF8XSQQ%T+?jY%0YAck_T;Fj*Kaxt)d#Yf?t6mkin`H z)9O%Mm=6AlMGW6-+Ctb_F+?|6H9?n~M0iC7VqHQIUXh`Vv)8aRd3~;-4&yd`uI7BP z-k?uN+Piz$p2&KuRJ`v>RD1)=xr9`0-!{`U;Yb6@Ev8hb&LW zw40YS;nDoc;rx|X(1uxG7lMc>zu{FY42O{QZ7a<1$+m!APBjondL!wQ4{cuYnv{Q* zl&20`@X8R{S5xKuL@S+>*|V67Omg$pVjC~4SuVL5I(;XM;F;~!@Sn}5Rwtw08?M_^ z1;HNw0Ofnx3tNz0xa<8TG40=+@9nElFgK@POOc|hHXNa^TvidbabIMDdf=dg82kUy z%&z71wv~jh_wr~1*+LDpZHJHSm?Wp&)n43^>e z9^u?5o9X=6^7Zs7u>aq|!PSlJzmI2M7IKRx!q0MI3i=CRlYeNJkl)$^buV=S7(xzG z^7C||Z6Eh=_H`|Bv)#TeyXG?qNx5ao)LR*HRv@)%>W(vA0S(j^e}uWxgyh#6>4r3; zMc%cmR$_1Ww*m%QQ3qP#23iLME9oASz7DiT=-Yni@`#-`~Eizs!_X(@sNL9ZolfNa8}TiVx6~st=)bG{hODGzV=`r9Q&`n; zN-4NXO~Gi=GAw9`!Z>kHCY7GHCeuz|nfvC4I({O(Q&|X-47c%T`?1{A!mw zA!z%Hn0O=`DePlS<|2yO5lkDOMve2DqBHJeOxNiL)CRtCbgGe7Z?fn~Z$6prw@tGr za^{cX#i&BRfYF{vvIPQ-+JIjO4`-)B`Ge$cHF0`!f@)tpQ-}5aEnh@6y7dE4fb*HO z#}H{gWSbx~nf?m=sVIry3K`5QA{x#*oXS!oBD?zg!V=>*DLM-{ysO?i#nCG zIjTOQg^*Dfs(8OzcxqTbP5%@3w)me`G; zu2@I2rEROL#k1{i!kXBl%e3924YrHmzZ~(Av1M_BV_IrkfyX@Fh&4?}hRQk_HBBd= zJT`>fW(B*(JYJqvX!}qZW!G>D#3C5d{*{q-r8TH(YZCwGM>U9U7c$W8!TuiE$U#s|}*nuabIiA5RK$0i9Mr|LQY@R$=_y;VfRm1{yDDph1( z-5CSd1`;9b5!#!Km;NZP{Cy|-_y!K#-yiSfUCDUp&eclN^@86=t#B~i!=(Ch8$iNe zgt*pWd>Y)odA|?s)5`3PuPyp64!rbN#GH1nj|IzdJ?FzZA~yUWYZlPD|DU$50;;NQ zYr{e5lx}Gdq`MnQK|nxC1Oz3e`-pTmhwhSY5D=uhyBm@2j(-Ebd-c745AN7w&-txy z&NWwV?^%12z<__a=s#u&o#tqOs^Fes)F3&NsF(1HK6Z^T`LRL}T0un_;De0Q9p=>{ z%TQeSLU?4c%1*(Hc76eCWtx#^5c`*E7(Xee10!q8M?ZeH2D%qLmbAw2VkFqR-;Nqg z3;}<|!B1URN8cV6F4{z|?06hA)TtyIdmOby~<_d%u)5SsZ!M z__hjSZo`8HkPdZ4&%rNZZet5r$m$XhFt|OjfIo==?Z7WYECd&RC5p7co)~F(k^%e7 z%5tB-y(4G9Qf3hAo=aF#LQNlpQC@gKKA7v~jpg7+5`-`K`U-Td!hK7#cPddJ*mY~} ztBI+R1vd7C2_RT(mza>hDJOL6g9tTr(4F(E*iF>WP`nqTVSy`c9rS}dJJXjW>|9(q z=CMgjLGU3rkiI-$uIx2yCR`kskYl|zdo6Oq7&8g)lm8iU-A5#h93!mJYx#9!%e#@K zC&u)_UeHV2+*%A36LXfB(|3P2o-J8=VDM+~wf6*UN+*BowDh!dI4L_9Ozx}tE6KKZ z8D7wZ#S7Qteaw^De^G6laNUlLMEUN!uMZ@}~JFVR8q)JZp2hA=O| zH~uYRYW*FmBZZ!Uu8&5*^S4D-d`BKCvObX`9C!yI0G&HZN zt>NTM4YrTsPx#&%Q*5Tp+sr_xTThoLlphD$&NY_qWd+pzs`0RGj^7E3CvmXGo9npT zBF?9o`?-F4pj1Sh&n38ld6~l`xFN+tiLo2P1+#)O)ZI!r#g=v3*>irD^Ymm;80^AM zd!j@pNI-OH3@^ybe=?}(!p?B;qMen9G|1Q0=8+%){$&n4X^_9GI01o&z9C(UdL8~a z{AF>ksYZDsG2LM*b$}tS&inXc5BV0;h7Qn18Qj$pnZifNz216U_|M&KHj*k~2s(Zavm1i-f6u;v<6iiB@o%@2qLC z!{;b}f&4f8Erpnu6U|GcK>8o%`jQ+jh7V9g-eg1iJorT&~*(>Q( zv@!PYo%kp*gIpxDIH;|fez>UT@!aC23`CW5Ye=7dWGEAUl!JcE!adg=%~`y>J+1K4 zf5|;X0h_L8*@91ryOGc<_KFxxgLu;GfQps1WZCcD#A<;h%B?OZo?7l6<(OWK#UXu<^|lDpYjlpb?uXE)G{s**O=VZgG>EEmwET@i)@h2{K}}$fD{C4=8pkpp zq8l$vF9Hj!((~H{+7YMpfkf~x@zg#-FUBi+8pPu{TAL+ZJ|*?#AW`R=AWW}_?@Gqq z4Yp~;V}xE~S9~;xn{`Tfy$$whmi}Cb6z6CPSPI9Idfc~zeoY_dXo>p3R%w zlYUM3bF?{ExHO1HPU+wu=S2!wW6pUi`|&Carjo(5rZTMO-g}3(?50PXWt(PjV4CY*l~ObW+mudlWkfardK@Rql}Gpnq>eS;sWk0g|Y{;mI4Bd%?E=j#;IQOX=tIrM;2>b z7s$J)c9gy4%-tWQWa0hu+yh8jq=~3YS1tN);r=>mre?nW3nLIXZdC}nJ7+`=3BClO zRQ2hAq94wm8NCG=KO9JUtZE)GMGplP-T)~H0g*s1^+D+15y>$yTljN34B}z3EDtm0 zEQm1k#AiRc#z-hShLd-k6FOx&%0q-?-@_!Iea*myiyuMynxW(LlJUE?)dz^yv(D4G z7xX3pua^z4ug_TA!r$K%^~7^YuuU1=IxUbwF^iZT?d6?w=+t}Y=Iw8;FF7&MUcoZy zUr932uW&h@ffd}!AoEQYR2!U5mbH-i#@(a6+A|K49;+&!`DQ|Pw<4e2r68TT7W?6{ z%epJK8z=$i&>aEsQ<9TC@Saqi3mP~wr+xj`^3f3j8aRAjs8)7NRPTX*61Xb(5YnF-^Vm8wYbAQ#fmrhB>v<~n1GoRRA^Be|j0o@<^b-!NkW z`!vY%*8g1G9ridySa1b7B;R&73#B-d?xB6ZqPQva0n0&152a|od5d4RGz(=qCm;0J zU0L6F`GBP%y5SkR2WkRW^fgzA+rC-nRX$*|m{Y=_+jbAI*Tnn~G1fcA+hA6gqJ7f3 z;?P_03yGV&{p5}gA?MV<+x}+o;(SCH*sK|RcrbrXdbIVj=6iql?GhN%D`8jZM>_M3 zE1}o$ylW)?ni7^oCS`NF!LvB24;~2bkB$Aukxb4*9N-3{Dt$k99+F7VtSL=l zj*1NW{;Z;0yxn`!s>n#9PfwBHwLqr@%`{u)xCPLzs0o`n!jD5aYrq57s z`Vr+19TggT+V27~q)i*sIF+>5{9Vuvnw>ay$`{U&&|ShstS|5bv0i7eisP>*aTM*afJ`bY%&c^*v`Uy1-CD?pE(WIf)E;V>o8De5BQW8DN2m?lsv7ARzuEGc75(ujg}hmV75iClN zi#v$RsweBkAg zdi9Q?9Shb>^k(Yqik8?M0&d1f5-_qmR%%SHX zc>TfNmD)W7U~8jmLX>yTa}86U!vT|4=tJ! zGIaQ*RF6`B^{gkXfgLYLICdl!91|kqGb9`Yc5^-c2sUOx@Ou>n+wH0<>+0(>z9!F6 z$EgVEA?L7>uX$Eq!|d^ij;`^kK1yeIimsJwaWv;yZWl;Oe~giHR_0^VjZE`OQze_L zs%o@hx8ZXW`cbReU}<-Ri!de?4Wsp8=@&X$+JtkaemZ3IHQ&{{4N2n>!ML#7UM*B6 z44+&}m);n@VKfm5&|uypQPtHZR99A4a^H@MN}2rS{b^E!R%ruG^G$yAXxcZ$?oqs1 zD-vY>8~K=FONgDJp6+>#WBr@5Ogl`c$n){>OA{bRYS|^6!Kfeov{eChX3w*w+wL*g z+^grOVCRyt!&_R$bqUqR6!0$z?VwN+#B)wui(Hg*mb%9#cq+9WyHyA8UIa4EfS$*t z&##P3vUEJ*?SUUT6IGHKDHrye7^3^YVkmT`R}dp04(%Z~n1{taPe}aDM;tdVyO>^c z6l9p*ot2R*>ml8Q0!QKvGI3SuA}uv3si%6=0+W%^Rer6H|3NG*L>gRy(u!ri&56~` z_@qTCUCzhiGFFIx?Bev>(i=kDh@`kU+L}fbH`E@?2vX z0y()T4N7F-=V5SS*k8RDCx!}$y_e4<-W-Nc^kq;LI7$;-gyWR2sRPSf^w?9ET;3Ke zb2H+1lZ$~}V|h$D9Mxd#^X@!mkdGHxf}1gha?NOk?`nIBT94;5 z8HlB?2N!|PmEEKS= z@wIWD80Px2&$sF+95k3VXuvkdGO+@x`gH1p8Ju#dS!fZ!`kYX+0dnH#?ay5ax{?QOi)50JW*0p)7xum ziV0obHq#SCafI|^nV*i8` zh_Uj>OOt|`S|4}N!<&?DGc3i@WFyR%*kdP5@ROF&VkJhAjHStP&J|)$7!$3d7JJ!A zAyo$vP`sn``Vgd)PPCl!R#%;*&_b#B)DQH2FNkiIpiz)c$hkOVxaIi^$xuuz^Ps1( zfy5qmsc&7m6~W6)ZH^iaY#uH&2*yh|s88p}o!xVG$PHyFX=mc1U$pd%X}5e`9McxJ zT<)%vv=8&tRI$f6RIIP{Wq#p3L@?`xH9xV_q$FFlL*CT;IESMKNn>nq_Dgz}`K+j? z_N$n&b(|GEDI82h6HY=TIu4P+jj#+;B$O9IKQhBc?LR)T>?t9Dj@hoFfl$d~b! zXjy41IyJv#1GAq9D*7${;j?CZA?|o;%v6i**=^6B=%dqm;bCg$9>0@APWJ5#l8C^P zBT*|pmIIUYKP!bd@AWfQqL=Y|Lr0a+m7UQ&a=p~?l&$)`e4jyIMHw+Kw z>7SOLrfPaE$P43hY)pDA!Vk)H-bz-sM#3`htFoy7%JLRcA* ziRIJ|VXnad3(3gDOO00|Y%v_rxky#lRlP28vYD>nTQgDWN@0mn<5<^kbuCI%hJib! zBJCIiG$Ep69fahkvz5JyVXPnK&Eh{xi1($XlzjTq_=(ZVJjK-ZJPcGQTB8uV8J26F z#2R9X?+iOPTF-m?WmM|CyZM#!5uO{dnReBOFPN)xZ|NiK=&MHzKnUao>G^K9+K#k} zgkSrW!IV*c&!X*IwY)w3yxyirjlFyp#^jO7SQCSz$mvaXC~bE`CR`4bN!>CD%PA_bi9kiMInSyG%Ru6Z9Q+&r7#cq+5Ngrw$c z%L6-nY9c0&u)nEJQYBBL?@^RX!eduut%ZlE5)Tg0MGiJbm~YwrM$x)<-Zf7CUT`tp z$ZQYk(3TT-Ep5dLVKi8-g!3cCIx=i$$7eC9+Jn~3=cDO?ue8d@&=JQ}^z(i&I^apq zeb9$@_HC{7keuMu>QTkBe~H&fB~D4(no_W6Z@8XKyT$));3+1CXBqQV@-(O;NAI)P z(^M4jDq@FKJNi40Jd>*PN$tJ9;BmoL-> zsb;OZ_b89{ygb>GXXAsF+G)2kFJqRpAfifk3~0=~);LvQ3h-pYUs10fH9X*Awc?+# zOOq|s?qZ41ZnxKd60QG}QyQXa1X*6SW9YO6BZUwVRvka_(^j$yjLt-tS_xIlu=^~F zI`h1ol5di_eQ`h~7?d@+R#_JQq0;dvRf)&4>g%Va=5nhE^E_e&sgz;N<_L3$+)J0J z8RNL5k9HH$^l&?sxj^*l$#liP*!59iQk0_HwZ4(V}&LS`mK1k9rfH~oQ7l> zozPU#aS4bmwCt7*4R0CF@o&G>4|7hf76Ko}iCbi@gwDPm&aZId;jThs{6@O?qF?dN znrR?WcJr&*>`>~4m({KmiD@(wqv1)hnZw@6HhnEiCnA}c{N~o`yxUJ2Tp1HlF>AA# z1zfETP)Mx!wYV6oO=G2BKIW@akblXpaI~a>>i9`^extk5sSDUP)myrD^t0Bs7+HcB zrFW&ikR5$pKc3BObDdrUzQ-tJIzxWxl$F`R%$jiqeoIubFnw{=BT{g3>XwC!KtlZIm8EBEPfMe|wIJ`kX}B=EeznPl z39TD0-(7hvmp-du*m$7`41goaH(WwsVczhT(MWsSRe@Dk`Ey92ONvWJigE%DuWkq0 zU{pwCi0vxos&ZogPbBtLHtln*b5Z5`3@hDV3>|PAT@6t$IaW<-4ui6;q>OxuCE^YW z3SF{Y`kzjJQuaAlQ+6AOB8|HJ*!8mJpzJ_M`&{B&RoRWAZr*kkZPi)(TzN7ZOb;I1 zZm`96D9K`V$+yy0jnuVO&Eyx#!;g#m3T$RevML8vLJFNmE8}vN`|N6_bhcBz68}nz z$g<07(6*__JE$*wuQU{dHa@arQ|ps;GBTo5GexL3Dp;4Cbuv2YW(_Q~Uki<9McjN=tmmfEO8exALyxj|d;StM9ffgA_T~4n|6yvN2F_bH4@YEz;J2JIm)9S z;+)Vm-qjT1JexP!K!$b2gdTk?ah}^7$E&Os;80SCqfFI5G&-r`Wo=X8=_0LwVy)(v z)i*lg!BL^2{PV+x3oHdyfl-`@a>*FZ#|^Rrs^@(HHWhN(`Cnz(ZNFwQ4v&c0+VV)T zyk^E*oC@Ej!5?%UiHdWI$pVuU9#Rnv1`mu z$Rgtv`$xIP`TG|Rj>yPs=jA~k)5>dS=c)E&e;q}Jt=-v8Evd^ITmPu2EzSF`AeA(q zW>S>3W-2|pueKzma7;-SiA|+KN11DVL-M0{JhO04yzQ~EVEoh+OAXkGA-UjdJBu;t zY*or@m%=_-W!mR;j})#up3WC&?K=@oq~SzgCgcNK z-9+BxHngEp<*-*(#g{h6>?5E17uMG`CsAsgcy)4(&~2%ejFjrjejO0W^kq8##IOZk z8o+7m$d1^GYxnOA zj1v0RgyjHllF}o+;&=kBirJ$A|r|7vl4+j)D>%?Z%zjcVUl}OQd%{8@Q~R+ z;>6n#-8h%To28$80|de`K1zlVLcU|PKeC;m_3*G4CN-(g>+CC#e`t86 zm}vH1YSR>EI;ZTIA*0MTU&hEpL)Zu#c|V$^$4baq*ht>As&B`z*2a#eR@SJhWZKq_ ztyaQ_t2Exmj<^=AV^mbeWpje)tZKwm3b8ricNQ@kFDB-#4cE>r+upKD)NW!No#eC& z>+3VZUEdzY#Zw`QUn-7nd`87f8we|_dbcgeDE zz|0x-fzre8YabH6+>1}qG-kys)|?-pm)^e}YV%Ke#exSJ3Y3o1KEir2^&GSRLq;B_ zoA&%~o$l$h&Vjo{F({(d#7>t(#%nH$r zv<%NOp)@wAy$)~iiM;hJnSJ!)Vp5pYn^Opbek_eo&64L1p9tmtU_qwt#tpvx4yW^K zqiya3VYsG;^^9}pTVHWYoI#EH-j-W$kgm%5O>5Cw3i>Vd=t{+|)`%-tMmN7qWmv~4 z(I+8r?^9j&9bAm?OU4GJOYUPKD=V5S-@I<9=KbQj`0Vo) z1ew8pj`EUIYh#IlKcWEIgOR)2pyWSQKQV;(Tw zFWc#Ld()xKN^8VUD32Kw;czsR$!$rtm5;T2X1?nVvZLIYo$ZRcp_|v}w9at+6?sp$sD=t<8(n!JHKDB5~M^30+M% z-+;RV$LO-n5`1s*}Y-Z%@j-Zt4PEHYmpu|iKM?-ybOImNsfH14&Xw%27=4Bf`y zKsw<9I$_RIQ!$Xk3#huiwHQ#j8OT+G=(G%d*K{53=R~!U=1f`GB|)RW^wOM{YTV3t z>E}*YASwDID>k<*TKm)=y`0ICmOM)&R7uvDkikMyOfxit1)#jvYMip2@Ah?SyqI)TXTZVWOAzc)pN~U_eXQOun#HLW z$H7MOctZqq%x;pUmhqld`URN0$h*&*_j?eWYv9Ez&z4zF%5i;^na{_z6v*E3iZ$_O z$DDKFR{Sy=G#Nw|qtli+Sx)-L4pk_{LVEesA3woN8_PK1=_m_?{kkB?63a~%X?5%) zHm>DMrJpg(TQrY;G^_YUUi6FkYZQz3Qt=4{Jp@UZ%Fe^ za)y&a`-3?)kGSpX=x(isU(G7zKvRwgmySG5gVo>W3|N+6wlZeo|H!6nA7E>5;QHn5 z>@4fB-xg&=FJDY;&?LGyc!j-DaoE~hg1pCoqbU31*}w|23p0PVk@q>}u)`2$BE3F# z0fkrWHKT0CDMqPyNzIabb)imSWD+VA+&sA$>&)HA zG9yF^A~F&g7+WeXaqbS+6F7Aczntxf4-PNIP^wCYlysz)CIrz_-iE-A!4~ zn>!MGOUWZAZPpoPZVe-suvc!Mtu&fc`jdR<6nL^KDApk`6z=?)%3cj)A}6iiz(_++ zwWR5zn>cIpt>j|C7iv8DUK?@KWe38rd6DV*O}y9r!H9XhRw0j$ttY-wpT@pd?^WFK zU?~YZ7K;}%R3E^HcLgUoB@eL zL&mF#Gp1OT^s%f??1#rMqZl_-?FA&$2whQVm&a@i^US#Q-eQY{dyQaE!C~Zc2G?hb z$w*#;*ja)wsRMykkC`R$$P)$0{? zM_j(wb5vWeA}%-8gKc7c^0UNET~mM$UcPIv-2Te5GTG#bFDW%Jy9J*kV1%cU{d_Za zaE2If`-F>qV|Rk%$~}0GW~EsW4-MR?1EH3|*239>8Pc4FvX?%B^B%#BCxN*x3>OUi(U+hM#>5dF^o2T5NFCO7r9x z+hd8>EgvQ81)#E_G)UxjaJF>@AtC2KUzd|ww_}d1s2crcDf@Ym_Q$B`=f&5FClwn< z1eMKLhKY1C76xxj9kFzJSRcm_Z_4E(vF;)YXha8nvIzKO0XA?ccsn!wZl>{_3vsOu z`IF+g;IIYnm=~55wVjV#dbivm^dkd5*+n|-@Io)2_Gl|WY8Mu$X@UPkI*oEjsMn}~ zCpq3LPt3-o#R#&RGv|I}4e#`3$F(@8h%RG@f8^aHtrfVu6{^F~u#aDOEc})#&(KOLcEILUtcEf<2+f4C&ho-rlA-Z+vPB%(~bO zU0kk2_q(HwQaA&8S=!Cjhu~$UU1}zkrlE=VI!pU}I2#WVnK{t{3StucIMrjt5;ydW z9}RIaMeHd5bgEw0`u0hvY2TNjf{8<$p81(lWo)1h$dnR1MNOLb6)Mq&y%NY+#f6U) zG0DB9O7m7T5nifTdci0{kAe@qviPedWBmK$p2Me7@iT3`s zbxyeVrQg;~;F@J#Bjy2Lynm1MmaT7Fp)Hnp(h@b79XDI8P2G^A9Gf$vcGQ85V=_dNp-TNKv3%+5p=)qZ*6MV}eE611Zf=oU&&L0UM^o-V4w0yvu6& ziFDcFHrE%IJw7$J7vtxXzC)wQ*|HsbC#+(q=GR5Cr8B9QzvYy_g`z%5NKAi8`YG!A z#h0!*{nsbyTP(^$9=)h_#QrM8VeFM}f-6*I`2x)Nzy_DqZ>RfmqiH50fYmo*;*p5n z7g2l6gg{{a#7yv~o>(&elD#BX%;k=d#3{dr?9Azo@G()&GAkV+DS)N%aO*MV85T1A ziqJWH-5f!sVz%_us~0V|sm$6cTi!L2@a@%Esn_T0akvY`E23Mtm6J29^G_&9ThFr5 zDdw%Z!R}*tOA;sHbOW%;DbN$rLh#4k@rLUGAX8({pp*J(#%4o@3gUKVNc!`l;!frt z;d)SAd!?azpRq~Hde1u6`J?lZ;}Z-f+||a@kjGPz$N9SU;?j|UciQ|4UU?PDAQ~>h zbRemMF)})ak_y&pj3jroxDuwX6&q}WCi=x|FrKHYewmSY2+e#T!An+Nacay*yLQN5 zc3U1b+D|$oyqW&A((Kb(QMoK(!q@n{f+LNMOV4CFs9;;LeeA?d%j8n+%h-wgH&MXm~i5+lk$U0-$daT=hWXF%cbZ@Gb|8+=jRb zHDI{P9#Rokxw&ggg5cD&eB;22WXbJh5ewI`wXfFSagr`L zE~%k+3x?`+#pjsFb|J)$x9wr4z_~jUqPKYE0`e9LQXWaE)Oc`p?(&QB?Vo;}vMhfl zod{u-Ul3@g-kXo1-ow1)5y9k+*tpHFrUqM98iPnqsrN8=o7yKH2{uyiebvW2(+!CG z!L&90D9K4dd`KPBWp({)L#xBa?iLz;yxULXVIh6f8nmC6unRiY8s@MvPCGiZKZJh9 z62~&HpUX3A$X$%RVs3crI6rHtN0A__XH8R{DJoxV_9m@!p+6<{x~5c@S>@J0{p&pV zE&C;LTk13AFmTI~_MikQMnoetch0TmBdf@^a5q@KFD{CT-bczxAr0HZO`pKzG;eL7 z##Q{+cpnYJt=1Yd*)OLF<07gJ;P5MD)`dyTO{5FZrV% ze6wNSy4apDH*m+Dw<`2ZlUozVR*9_?;8+5F04X*b>a(9lS zIoHojPTYp_$yTNy`+XfOhBM|!6Yj1^7#K&!l|2mRxSyJvM6+h70*&D|AekwR7hvAT z^1gL-pFS4i8l>HieVu&dM7^E#hbLdgpdkI}EXdC{v`W+%Cbd3rYLw8r%V<^b%Svz* zntReCbJ%nRd<;}OymU55+xbXbe$06`8ir8DV(6+oQ z_=F4?CZq=Dp-qNIXodG>->dtS`35RJjS5c%F;(Q(5{y>p`A-d|a9 z_{^;;)~wIU#^1cy8%ldEBAzZ-S2SJwx_;)DHa+K){lX~H&%K^;T42E+;+iI?EKVzJ zAxwqMoKM)Q7o>zW-6(CA^GrPgNN|csI!sa)Mw>?ppWCUfEqoD7TFCX7SMnia;YDtx z#wlt(u3doTA`TWM9!o`44dz9*2ak}!5tcO`%SF(CAO9W(YL$0>`}0bxX6iB5apv6hJR1Ah)wn}0N7v|&GdL%SgYtQF!u%|=)UHAk0*o6ei zF#(=weXCLr?w8>nj;1Ww3E-p@N8@!jvggjpCECDs;sCXpgr6jP)jIwFhWsbiu^)5; z9?AaD&Pahv*?J~(h=x~k$YNll#%FG*M6LZ^ylx~3UHv`*=U$dn^RU2I6;s1>-#gD% zPqWUOv(KyR)z7{Tn*BI7K|Ap=&`ex&7YhF}Mb0CUn{BlH0K01j_8lp1d;!lW}_SL_xlT`OUJ_>PWa3~Q5w-vS0f{7i@ zjt7$F;S_DiI8!=+o-~cOfV^@E+VCF-a*$hX~HDh)d1+>v!l(EycYh1ZBllS<=Er7dc_a z(F|fQX7MKl>l5ap`3K>-#1GluER)zYN)5gbnY7t9-3QWaIFu}m$M!Fkc@DcAo9nrB$w^FJWCyS75QhBZ^5@@B-P83KV@)moimE`Ed)n(wvE3j)Nbdt%JpJ7g^ z&^I3xnK*yQ!X8^?W8QEcd?$~in02mz)?v#Tml&qn2!eGOPMQ1oxe8??5!PWmWzOXD zDawW}%AUK3>jV@j{zyB@o}Y;8N2O$rA3tG_C16}WDC%|oN;KncY zLIST@_siES!ZW%^uusq~Tt_I^4~-9NF0%!ZJp%z|l9pVLq$I7}}Ee zB0<&rw$>s&PLF~Ob3zjPabq6a$1G>pX|Xlk3TkXmBiz(O^ZG-0!0e4ybqQn2b-P`g z@zamMb1;Kqi^(Mo*Na z=d63bP^81KpAlz*pOunZ-%`|=o~HTzj=ku>Dmv#LhXVZe z3i{Zg42|cB6&QZ=>kyq#nW&A*~xM2&bnFCC*WMR9pN zsdctJ2UJlPb##_JL#cc$Y0!AdY$C*}gT{e7M65ct$X;}v%*T=fjfdTQ zfuUkxyp}3z(a%0{6EAo?1+Q#qJY9G^Q?HO|o=bL;1uh%$l3{g>wz6F@(0C47!N1%q zOGIDd9HJRZqY!FG(90(h<#Q{D#)9$Yf$!!J^RxN)Ay?RK%#N7^h0i^r zM4*Uc$lG2JHiM!pGzbd@3knJz9_r4>V8C405D^sAr>y@r z(so~hjqDC}4tytF5I|XH{&xhXp8)A@dO=u_P;_W4$V@Nj8H^4SG^CaRni$gA0R-@_ zVf5W`pcN5-WIvctP`Llp?Z5nlV4MKTga_qA z)-Htp-_2u<)-~7%#0m2;6cq1Y?2CWmwfaG1_jW$_15|Lr_jVqr`~{#1Ai@`*`<@Hg zzSDmZ{X_p)7#iDY#!1hKqyp!P>B9T1@-a| zJP-;rNYNq)9wJNvg1z_TJ_!MYakhl;y4H$5-I7kp=Zu#)AYXKM}+&G=ndjN1j4*87dzsO$^n#n0+bW@tDKD6Kjjc0L6abgd;AT1e4{Ra zmjm!zf8nP-|AEhQhQ@%HPW`S7WabmK*8l5Vu*V;TblsrQ?hD=bOXn#dI0D{(=zmOs zNbXgOcd9|(KUCDyAd-9kJe>{ZBmh&)fPXxH`G*|v2Y%KI8WRHirtP8i3#S3ToS=X7 z`2V^t0{8fp4#0N^@ICvBk0@JhkxhaVhs0{4xRnwvgunGf_vP=yrwgl>wgdRX2l&JPS9Qgc9}1DqgXr)5k-zJ_fvJB` z0savF>q`BdUMvIRz5=3!z$^em+3)wmn`B@%-(CLQIijgVm1hA{#dl`^GP#-gP`EAy z7{Pb=d%If;054hggX$m^FbS-c4=T+?K;@qEph{T;G5?W^O>Yr|4MNX-&;dEwnD5DC zmw*x}c@NUiC6FXEE9CPEh%R~=Bmy!ke2@!%y$kVw><2FcMcRt~Awq+cuYmCH*>3Js zZ%Q6i^!dNnkm(KUzGC;y zqWw6ub_0ly7~+3-6Y6S6<0?q@9`$c3xc^?cOllrjfxK{ku)>sU`~U&0qd@-_{VVbY zbq{#rDrlmAy|{w_(EID(4bk0<-S!9T3C+3^5aLKc*ajry5ufg@1d0cgt|+?!GI*0=Z}fCM(PB-<=4014IZd z2!Y-NJ%?O&Km!vqpt+B4e-X~dW`JoMU=sR^iGT3kHsrh;=&AqUH}NeTzyO{SzzhC` z*BW`iGj4*O-q%2B6Q}{#_=6Nk$o2@5v-TEA_ENXo7Vza7h~|sGpcr!x(7p+1k~`ngA!yqm0_ZKs=N%vdU#Fq*|BVfJ z8;H#=WPbG{rZDy zU>AgYAJ~mM)%@l^DlEt}VC7yVvj?cmfNk+V4g~G}ZjORG)$AUGY#&7bKYfi(7*hTe zsLmWPeg54;sQ2$m$ltSn+6O4o5AP`;K&m@z2-ERz!?Sm)wc`gB)d7h7!JPv@ntAph z4L|t3!L7NIx?Vm=c}{-Q+(&iDH~-Z;zz;_tN`Egar`Hd7^TXc(3OfW?%Ap|pM<9BL z<>jBwTmvd-I>_D;hyfyT^m~CFxAYLP0jL`RYT>^cp!@LyN#W7&y@K}`C$2C6eGfqY zUQ80<@1QdGl@mM$9NRvd!fp`la8Z2NDq!dMEBVHZF>kh#Qg+B^FP7Idt+RA zw{|@Pg8%o%edNBxi+hTmyPZD)<{bqsWEchX$4-1zi1P6q;4d5SQuX)F`3UFUB*f+P z_olh{6ky>cc#s~Q{+_`J&j4v4@q<+N3`BjO=(q3iX$loUyASv!1#v$IaYA~|fFyvx zn-Jvsu7nB2LkS8JAkXLBKL5TA$1gN|?ouLp0>woC3bZNp9i9`ia0Vi{hyI&r@V_UT zGTH}fAnPFhzpo@OFYaQ%05msnq}TsHFDkKj(%1LWrw}+A5H4gJP~98&H!mR+6y0CG zP%uBRa9#f1i2i%D_`jiV4DO&@_w~-b1Z+JAGWuKtel1)AYlOk?2db;z@2meFJqBjb zzih^Qcqn(F4`i>rUrw?^K!5JM%cC9ueIXBkhf3UW0L^fJJ^g)um};C7@9^aR^OneR z4R~4epoCangUIfKK`O5RI|IB#%K@*TtpD)bO+lSWcRV_v<1`4y4M-1klzGn!!A$|- zK=N;ZTCV3jNRZM&m=KiP-=m)B7O-QG`=HXi1yS6`$o)q0Dthn-9pYI07rl4o z1K?{h2niw&4FfFi)ejQpQef;5wgMhCL&Fe&w7=bn=^*eR7%q@`9Yh2KBYYn&*bg0_ jc7Sj>0DG6e!xh~CNd>|1qke<>1_hRYf*QG7T%rCCG}v); delta 66547 zcmV)1K+V7Dk__vG3=B|90|XQR00;;Gbb7H2kbnb-j8U_nfOrA|dTO&7gFOZbh>THJ zN+p@8z>{BzL4S1G(gGbQZ4ujUiwlC(YAKWgT3aZ(6)hq-U50k(vb*k1jV1Aw7~>yf zjBiccDk@QH;)Avc)y8KOLIMd!d`68#jhd)2(H|t>xii!4D6jg**!13W?!D)p@0@eb zIg>qja{mN?2HX-vIo1U*4_5>c#Fc_;6Vp{x{V0P~Bms4K2|d*CUz1gsH_$Bi548;)A>ObR?P+yqv+eUGEv_Wnh~b@?!((w4N|q z`?NIew0|-bI6Kjl9*-LdlAGPJQ@cfr#7TK1N+5~e)dM?r=xI__>IlaNqcJU!prfv2 zzn);oGcp~zY3iiZThm%gNZ!`ub~3Y|!${~G26px6=`O8D7+%zojA`*r8d*8pNq=E7GMyb#16SuQ+qB0 ztSjW2$4vG!R831Xm86i>o!_mE$&6tdNpZ*9ZzL#_7}*t!y0S@jf_ss8dKpX6AY(o% zWPj+`A!7k9kWq;$8P#H1hzn)(qEE)9Xkb`4t+>jlL9L7>sAZ@)pLa4Y7Q&4}ed(FA zkpaR^gQ0fX0g$m1m&@o!tBlJ87Z=ro<|q_=m%G_y~xyWFB>0zy~hUkT@>#&!Uu!7Nsn-XtlK;AdV6YROqzYMt>_# zv|LrRrx8$<-Or*xRa%}#NL4oPf#lr_uVh!QmgK;$cEE~MWw8a&cs76qE`XvOK#>c899As#7w6PJ;;8RZmAWk2Rb^2Y zYgHwj#Y$C)WYMT9E3#OsDot4|Qh$}^EUHyyOBR)?a$y##s;tVQL{%1MMFh5HMKo3p zoyEXfmw{b51G`)X=D~9U8$<{g)yy)-#;uNxW#KWfaNP)aIJ^&h6rK@y!!-F`wce`E z((a=<0x#(cP#9;DuX9M(6mnS(xeS-k>kF*q^eMKnmXVCG)gSi$O>fY;yMLUtH(0u4 zTguVJMXz#MYrQww=zkmqL?jyCQRH3cvisFsaEi!SU}!4y_d4hDQ+)ca>lkg@Sn;L9w^@)X(d(N*+Tz*_RV4S!9z3LR)il;$m1PZn&V2Hx#*qR-`o1mC5e(gF{ePF>P= z#Pg%=2tO`RU$p$-pW6Q5cH%#_*Z-?=g)=CaoKl^??%I3Gp@R;MOmo~x<58C$_mKai zvERXa+o6;G{#W=PI(Ua^JZB}ST|W6wP%hf6oJ-U1e8Vo0sr}zP?tlFmQ~Q20FG9^p&z3~#_5z8cT+Hay4Mv5$9Rgm1ra0#9^Mr5&jI0^5^jpe*wq% z%lL%9g3tLre8I=?6(7gfd;;I{cW|7)kMH>b{J;<4Cw>G!^P~8MAH%QwGyKlK#2@?{ z{5e(N{J5*Y%TacME1nWR^Aw8zQtmJ2{>eX4=S|e@th#4&see_8?svav<9;jXmGp_R zn2IdQCwo(g#e}kuEhb<;Ou^n$hee zr`EH_5$c{N8uKXT)Q_R8gLoh1$ZJsRMlrYUmE0387k!gF^fCHsGA|mLAI(fcJIh0q z1+bOnBgR5VutOQRgB9Q&rr08mQ<1efa00veO!g&dbI&jJv$ose7s5r~XYSE-XA znGFX30AdXQ049^*g&vbAk_~?ecNZZO5^j6x@v{-=gjr}-S^&|d+)pNX`cVr#b*KBgclTnvBuu1kOV26@5t7;2d_h6jK+}I@R%sFDn#$x;N*4wK zso6=THq$(HY&NO%C?}_4RGb!I!D~(xycoP@R<+A>wHUr^YDw)ms?%Fw0 zm`?diH!Hn3=f6?X&3wX-yo2!_pH63ddnfIaS>Ju!k2{m~*KRS;oKMZ9FB`V{qcbxJ z1=|cnCiu>oR9=7Kb``C!bupOCTq0Kwpj(A@nRc*(nTcE?d9_lCuUeZtmdp4h z-Ko}Irao1$dYHQyNGg}B??ONK$#Yh$O2J$xTLwB#Y}7cLmu$D@<*b_Ju33x69hVK) zUN)~bg~#oR?cHJ^F_6C2&HvDGgY&F>(ph9cZ5g{_&8~l*Ua;Ioh%)1p%<{ZRZ{&tC zT5?WTX{xE_ZYiz0u2u1l`X{5gW|?kj**_M>?R4xd+cg9IFBeZim4u16<~gu3V^;mw zMDQGI=N~cy@qzTbil>fsJR3m&%Po1qM{A(R%t*wx5ar?h&=lK;M6hF^2o_ zPz-nD?JN*??yHC*F^%YJ!` z5k80bu#msLLrbZn>@>ua<9i;gnTk1cR@*E!j%- zF0%@b+W%|!b}${qZ}3|Ky{h$&7{h&+Ze*ojx_yuEa@=~_T(NkOmQ3%s?JZxrQrVZe z|M!3FT)R4g->LonlYu=ijoFnoHc(?qJ(4LpcHH#{{-SOY$5~mea{JSjisdGG+G`fW zdst7q;iKMK(`IF{Y}NME7araqiT|*$z-``Ox_%SYH|vVr8ZMG%xtzB>i%I^~z}{CB z8~5ks=kWj;{HX^95mYBi{X(fj<^SioQdfUAUPZfsSF4{kjFE5B_-68JG(JQ=tnoPc zh{m^&Z`Js<un8S;$Av*fJCbL7`+oFnHoE|8CD zJWoEZ@f*lRjc+HP(D;qyJ2ZY1`OO-?g=}iPKrU&#NVYUyBA?WFnQUu(ioBw6nS6g* z;|kf)xJrJj#xA+0u}5Cjc#V9g#&099YkU`?>W)@F@VMuSeec4(SJWX${XV>d@}0c8 zZl*255p(EiG@Yc<=&3fw9n8^u)uD zAsP?1cb>tfq0l9B`2L`A_QmJULJWU((>64G0pX$j7Z5q8zR2({%2{-%FAoON!*ASP z#IO@>*o98?qYt~W7kjXe@&K-53t!LH9ALW*vAu@5c0b!@gzazxxBCFM@kYFx-lmyE zA6MPaRKxT*f%i}g((kQ!01qOhQp9`lKJ_t4ZNx8$($t}A@-=nr0(d|5-4K5-a%nii zugnOVBlrL>RQ!`4HZ9SApy}^uY6>#+58^}hD$+@l?=H-Wbsc=%ue3@v>|4kD5M%Wj zjni)6!}v&J^*CRv#^lg>R_r_rb{>(75Wv-*4r`udBOQT{;-QA8zcFR?_d@&bXV6>> z$Y{P8lr8yUNXGKTux!m2BXWOJz9?i{zStg??UWI@nNmne*(^IKqq38-MaC&(atmdv z+)BAgZli3I+bP>+7v*NzO)2FL$`08>*(rM|<8mkE7THI+RqmqPCi^M3%iWY+at~#< z+)KGbUPsv@ucz#l1C%@EAZ4E%qTD6-QTEH>{F4Zr^UL;9F>);1$NV$`eH?#O-{!Rb z9)HFkcr-ra7skM6`8@V-P)h>@6aWAS2mpF&Q&*rLDF8(s005d_001YG;DsKS+s^_F zf6ZG5d=%Bz|DQXXOfoDagc@pq03iWFQIG%$EhriS0wPfmmt+GgX>1k*>-^8+_w4_}QFfo?0poWd`}F zT&KAPTGDj+Y@SX51Fh*4o#rP|g=A2vQ=!7lFedySRxNg<>54)PB)NEXULl~<>4%OI9sQ44CKhN&qHA!rf&GK-IJlv|&Z3d>$?eb=WPInkMjyB4h zJ9WCtzzn)ur+W;XKH!v*`hy9yH*i%{px{P)b{M+GfB{4@n#S zO{a$q%%kl(J!0S#dQ_*!3{;qUJg(Cd2CC^vot`qVfHup)r*(S9K!~1|H#>BC&cLbk zyiPj}ETI>4deOjX^lTEnq|?g=&ZM<+-YfF(s!p#NIG0}6=?w$t)0;ZIe`R19J*(5( z1}>84cMM!Y@9OlPfy?OqB>F(7T?Vc&nfzTIKGf+W16R|>I(=ebCH+IEe;QaVwYi5r z)oHhZwREXYpBcDbYW2B+8)tb1VG0wM(i(zS2;jR6Skl z59UrS^;K2*gTo2U{lQ>$aEiaCIvA2e@gkP|d_SjY?O&Fj(rhCo`AC5rL{eD4$S}e-)2b(NyR&o!}W; zUFK(d6$PsN#S1Iv_=D4Yb1HZwt*DyqH{Hjid~XeULh}N(gdUBpI@uSjRrX0>A4n4> z1s!W9`btavH6dn{H9@Z4JS5FfxVXk&8uFJBXabK-Wrp)7`f98-jl#u}hBfDvlS~E^ zn1z-lIV9AEd_lhJf8V$z!YwEXlWH8}Czi80Yv&PKIF!mzgx*<2r}!56ax0i|?o?i& zYVPp*Ba&T8rN6e;H`hPSUs+S(3n>HEn@DJ;6h3xgwNh7O890t=q{Su&tLFxNm4vLU zK6R@2=G2CQEYVac*y3qHzrQxun#tTerK6`#Bjh$dzqGotf6`Y~R+~H4ykJ7&Wg9n` zqE}Yn=|+vYq*|?4ywbH&dQGSb`RDqBMF9=~Uj<=UEcJL^Wq{?V%?&4Ij!CsO{)!5= zBtc9lA`CrXPHmKIW9RuKjZ3=W@kR!p4YMG`sG^yO;c5>DM zKyA|mXdZAVl@z8xljY{Y6v&k%aa7pG@~g~De}ERQIrRpVtA4ZN+QiW?2|OW1Ga!ax zmelZZ8Xw2`tE$aCbz*sZC|q70tdu4lug(pja3>{Pl;?y|v5XXZ1;d(R))?zX*=7%S z1NNqlAvdZJ3FRh03^^cCII@4YlQrTIL&yQ@$9g53kCbHAwF))UqEYHz92SjTISp%y ze`!(cMp<-kxJ9E1k>HEVqESR)i|(^68u2I&i$-J0Rx}@(7B#P3$?M7h!l0Ntt_HHk zQyx!6Hz~&l{1s*4cxZD>=nS%Uc7*7-m`m# z-)cNF=J|tuukeTjFJ8jSUOX@7zlf)Gk?0k=NaC(1zGlgbukj5bGoBWSbrZ>ij99M? z2tB2+Y{WW&edTP?41ZNwMs;~cjm_`xc$K5zfO;Y+j6vueFh?^g%;9ho5y0+0f57&J z(6!VaipG9mlPf82!0L))B)i-u_8?r+s;$e2*x-oR>BaZ>#)};?AYa7JSe9_Q6BC_6 z0bhmHY)D%-^WszN_R??~;l&epGM4Ujy^gQK&ByDdu9U&8XLhzOntMeHk-`nwAl@+@ zc*`OArZV6iXsaRVTe1|{ycda^f5#Yhg?o1_nWS+C8lP20GtJy8mt9(UNs#8H9+b%& zk@%Pt`9m%atz*THLpPz^Mb?HfJU+7H=LMYpQ&P5#o3gO7CbXoEQpREj2@&#rAEShN zC6?jKD7AarA#rjrB>9sDRF9cHsM@4#=?RybvS|DOMrQXh$4jr%XGnX4-` zu6|4k&@)y+2xBvr4xLl_em zFEdpd;S{r)+niMN)N4o^2S}Ti>fa^`FjG8(r z8~4B68!G=t>0Z4wiZ_EajtXP>-{$6kWE6%hf4^A%w|QAm8J$!ASpK&;DgQ?>@=_=2 z94iQH1{?(SyYILte`OFHAgT0!J<`mEYO6`%_WfaTAc|QWWo!K~_RJ5+FzX)sGYy_w%U29K?)PiaKP5>}KZX<9 zWKHPP(5D7%8~*Bp(9HZE!}`QwN?e~fH1Xq#SuuVSsrSom6Zwy7Na&`qxa`11JQNzO zJCI+I{@nZaey zC_gGU`TK`Ixk_BREI%+i9Xf%oi6KzbpDGV+Ha>YMeEt_B%2j`{4si*!_R#qI-~{8# zhr(iqhA1w5=EjY_^*%*w;!5Q)R*L?0#z9u8kxx(#e=;)tj}ZLTgTGHWpa?y9hF*#EQSzLdLbs%0K@i=8*U()-EQ>r-V&hWyfDz z^$wLH=!Sc6F8~jO{3MdEg#0Q}ogc(zb>4!leC`6mHax_~zwzBXX#5Z8ki89*owEfZ zyYCig+1ueM*@lEINW5RsKFr6qNZ{kiyoL|Sf0%<7C`CHTu$@OUOfGl?j{@rIF_wbV z)#EIO;HxL_B%kG~XYgz}lAFC5`er0`T#ruK=5;bJDKEuV7+aukK=bUhW*r}bcPpAp zQ8bq*^U(|yd|io5RHHu@C{fy)tQ}Eu@f4nBQJyi)lbMWX)fJ&7pLgIn%M=rsP72(v ze_=`L?9f8#8sfzl@?wkBqF$5KS@e1AwAdcWR8pXn9EjMOF-@c3X$k?6h{~ZF>%v4M?0w zn{s3rcE(XShSxKrXAoNeSj943%eGp>a;@bVH=sLi zR%RTFYv*lOw3pupD@DdTij2dDfB48!BpG5a@^qw!=g!CY#8T%WOPwPfbv)~%=+oKO zr%MC+bZuClXLy+%Y|iIc(&t&`o#@9Qd;}-Na1P;-9K?kzzpYP(OP?bheG2gp{L`(^ za2=h)_4yRLqw13oO`i-~pKcB4)4gGR-r;55V}0IdNk3qDcdJBu3MxhpkU$1N!uASf4L>nXg%&Z&=cQvAq9geZFOVzGHp9 zXMKKPX@88V&zJZrLZ2St`h3j`nEE`y_%HD$pNgzXH8H#IR`gQDp=h;B97S;4n7BRvvjqp|046);hk^;prWw*Y~zwp0P-j1{I?t z^)gkuQ?l=*)&Q4$V7YlO|LCN;YthX5ljCd5YpQWQkNBh4B50$`e^YaRv-z`K`xe{A z<`Ul9GIqZ_Pj)KDNq@`cxh4J5J#6#=MH_HkHdmB^Ji&>=`Bvzq4cdyqYcNwXk*Jq; z7?X6!OW2OXOVShgdPrX4b_^|1td7XjWu&GJiQlRyJ2jbSZonhfNI`LSs@J6L&7zyMqb#jP zGwIdV#C)FEvTkB(l6`G2I7Skw6~{8!Oc$9R$$y;1zmO*mc8QjuMC)eF8ehUwj^rs^ z`i`nAeRZMD0X>S3sT|sA9NMiowA z@Co(69?HZY)Qb%2O>L7O{Re~l?G7>4W`+27?siCw19?Dh(^$2 z8cApH%=4&#meClxgek6|akP>O={g!u>*+|knEa9cuB85stD=HK1$uGK4x#&jKq8HVO zTv{LoQAiZf5|yq`pcU^#+c6gX2Odu4@r+0xA%WU*P5cQzBayl@4E%y$p;Lb@^Z#Wu zN}_z;9Q=koNT%7=RRR~i75E){Iej;BHQL80tkD&`e}VV|`}yiRPHDM8@X$lZQ4|_I zgRTrNa_lvhLIOqtea95$)8B0hnfNw{hyoi##84NAbcc5zZZ$z{(ZA&d^;q=B>wSkcv!g@)N?*!=tD@c## z2rQ_de+2oiB&f@}W1J)?VzMNDHnGTs#V7E76?7g4>;)XK7jnQ}i~)2R4x`H%f0v_x zja^7r;%Hid>2x(pXccDBYRsW)F^|!%iq;~;Zdgj!<1D%Xm(x02NjGC9nY*|tyb~Q} zyNkX!?|vK7+HR4BirgOw$%&)q+S&gCGP3xRg)YDX^ zA4lz!VOn#~tBlH<^a9J2(pc#b`?=vw&~cAW?b%{%DmES18*WRb^M1mFxz9}~z@++? z$}e_Vr<hf4=U#9!{&&M!tYE zJ<(SdoKq|(%rD-se}|1r67*a^T4Bwp@S(q$gJGf+BSe|Io1~sCh$Q*S}VwxKkDB8#b}Ai zNcE6QnbolRn$-z>nkDe*X6toEgJpUL2C@U^aU7h&aWJ3bpb|4h4Nevd;1@y67qwU* zLRcgg;#_eme=ZP9aj`fJ%f;!qMx24Q;!La)XW=e!4(=7_;{kC2wuxnUR9uLs#YNaD zF2<|k61*)g#RuXtd?}XW8?gf4iL3CFxEg!JH3YGe62&U=iq(`RuBG;34RsM~sfW0Z z28$bLh*(c~;wCB(H&dawg^m%o(qwTPH&`3Exw?}of5g2iK5yo%_VaGctPTsDLc81< zTg!-=O*uTajrBIio<>h@7yI$p+Ze^ZKjm6!zsdUTV_-wck=kj!Q<~3| zP4-z_WWRmHe)|NY#HU<=cjFlG8CT)YFtjU zcWX)bN=wGCS~Kj?T9B@#Iotn22Rn{+e=@wv8C&X%tws-P>=xeYn~}7`!I(E3jM>e* zT!kVs92}g`X&A?pjI;fe=VVzb68B*g#}!9cW>)_Z;UF=bMl=;9u8<&cr3Dfz>VbsT z9!XjUG}k&JUF(D{T4!Wy8OYVThk?XM?s6hQ!W@$zk>4mt6x0WaQH~ysfW%b|e}Tjb zC#xWFOKWm%I+77^QZ6NZsK^UtI z#zgIKH%OR0UIa*(+DnkgcR*q^jfnt>0tFId!$D#k6 zJmT+{D1W@uTr|=wUv( zUOl>jALT?ox=}q^XTrw%24SO|qYK(F_T+H(ZID|K{7wr}+#oD}o%vWZ5?{Ti!eNIxR(|C>nS^Qhlk>N>wE60KC={t1j5T4XW z(F7|TPP3BXjz((6dCDGU&FF5`k6~&JV2<0OHz|wW%oaVtGWKLf@fMNQ;T9{6Zsmys zt%?RTZJ{+8r-hk;P_;u zWAz#6Y`vo?a{&1qw4tMUTPE#uhm)(fQxQLvxq2f_bbOM6gnc+df2U&UldOzzmrSC` z@x5Ac72Eo+R&H|&<3^`2-syU^a+hWLyX(DL(QaZ(-olo=jV*aQ=k>%UO+_@yQmNwBBG+$ z^|klzYkT#zy>|c4v$uQMyW6?lyUTr_!rbic%QRVCT34fHF?Bh47{ARwv z&u`(k`uJ@=e!I%=pgMQ(b(Gxc<9Dh2Zobja@1YX+s{B5F0VOMZe3QyI^EN-fpFiN^ z532kjejO!v>qzJp}hLA9Pz`O|dp zG~emx&+um{_Z%g7?SFZdzd+Ys;5#WneP2}hOZ;U@Uh(mNsr*&C{3?IW$6r(V>%{sx ze}fX_dQ;_Z(dD=3^4ltZhphGvztYFwRrz~_|GvsUpb{U@l@EBEkAJB0kLb)tbopbI ze?kYJ5WuG@|BMbkBUwJ9GoP#c3p(=!-|6H3R{587@FiXO%74edR{1ylTR;B~Iq@4R z@tw-Q=imDHe^veiq5r7zpZEzr{awWCA47l+31N4kd?BGMAEhl+35(P)Zh1vXGL) zC|N|w;gl?<gX3z&D(?735>&IJZ#z&cNEsBaDj>ca~HwJkw`kunFEe@^M#sk7!U znKyL~o#_p%)6$0e@XTPiDOA}EZ1)+<0>=b~1bG1KR5bDw@Mh zfq%;Iyw>_~VC8&7Y93MKx<_M$2Mki>;iN^kdSe1D2=jFey|YXo^vyJ_RM>Yk#Mu z#n=q^fbA9ytgyu*U zZ+KQMj!r>~n5t=Muz$K_W_X<&tbc51s!BJ!#@Ehvcun9ld-I|3H;Ghw^PwGZk4T|6 z$J3cQET|9E1=H0bu5z|@h^GVA+j6&_-56{N;B0P6u(B2-a9G!7rGqwA?S;+zs0~h9 zicRehW*UNzF#C-@<&M$MDSXAS#8=No_)1P_wz_F8^_4MtIQ9P+*tpu+=zsr7`AjY5 zG&N+PMO^KyYZ1=}tY_RrDMpuYD!SNWq@_`5#;m4y=C?KmV>EJ_KZUVE^N_e|*_c1s z69Fr1zpK~=vlXehLV7+t|X13IZLyfgT zeg0TlUELI{4upfinjKULn14l^huA};rO*MHk^;+*gn|%(#4F`A-?HG_0c2yojH`S$j1!J%gS34Uw)uepp!UYW(5;SoNbSAP*Pq2lNU?kd3RIji8>C z&l=PdG;s=sCbCWCY<~p9WOUY`p0*w~m9wdb9i1hpC#c~R)J@#Py4eWoN!Vh7dfY|# zLER)shLVk-?us`)s7tkU3hE}C15h@Cx?Aelpf1(aDX5!tNnx@P)ZG!AQ~1*Q*2wZj zeS_ZXoVJNXRy<;BWn-I&Eswc+s?QN)#CF=?W5C7LOG|Kvt$#fdCUY%oQbR*+jO6at zvf`>`Z!IgH$dsF&g{C2QT(#`U9ZzI7m8nfl4NYk@mH2wuYbps$(S0wWIt)`WvWHyB zo=`C@;YX!MWd@eA`fwV7CAL=f0!ti^Y4XT=Mj9qhsF*#ICsLW~&C%&fC|Db-M58Mu zWcAb1Wqd}A=6~|6F*8X}$Z6JLwobd+*_d@C<%>S_Gxw9s2w@VabspnlvAR&bKAnlH zn~gDU5;k+|U|AJCrdb+t)uW?u?X|MfqwRPsVTd+fL@n;L%f$i~Q!^X8T%=sUI_tul z&t9B2mbOEgb+WOs)P}_rbxK2I0|KysgQ&+)vF0IWg@3fxNT zowfS=0h0rbkw=sx2UzIlAxM3-D?(4i2f2y0fx!y=TNCA))lyp@O-o*iGsVj$3G8EhSvW5_Llm5 zg-}meiK2xY!ZaMnX=!Yvu}n^F1C~_8*}%LFOP4kW(SYiJGW|bYgl4SVIFu5yRDf~; z%2iOVf>5!Bx1uRjMH-jOHP*!~D|5PT?{KTWRip+TkOZwmjRTUYm69rSE1+geI%FAj zc7MgPU}YGaVZy0cWiwcB?g$EprTJtOPQw*542Na*4p}njg&Dn2*C95S zyL>zCx9ZmyROhzXuZ`R9Xj+VwA$V}#FsctOIWC-l^bSRT<@8%&+CY{CIW4TP` zHOZgnBtxE&`l@>}IH&J}r1BaeFqAoXl3i4p+a=lNvdkNde1FGXCii5w+a!{4RCd_jl1v=z`0z86Xq@~}a5Cii z=|2ol2CL2sRo4f?Elol2c1tYaz!~{g8n&s}RaoO2YU3<;`tw-FCqWa^Bs7N<{||^` zmSwZgXDqs9pUARak$tlE!F2YyB%{yl6St2>v(IG=8naJi4kEM9WDGB}Pk$6Q`pZ6{ z<))Q=GW~9l0Sz$!yoQ#hO1$4jKC5Z{N@fY+X)sWnqrslgSA)GM*#`zF;#^IfC(c*I z1)8`}Tm-C-1C=H&7ONF;2^GH-Nu$pkN;LQr{!+wc8uWz^6mdC~xIzH~gcBb(*+QtXITM zRODt&Y@i}aq0Ai~mkHc}Q3 zqDw?r@*zz;Okhf6T?)@^(ZnP0u_Cr2B+d^^hDT8iV+9V6wrS!qv0V|56TuT$68nK! z@=2tKE;-`y4m^&oa^mq*ns{35RKzn>_gPImCw6M$d9f3iMjFj-ETP*;iN+ZRMZ7@L zn=9~G`$bK>Bwkj;D}Pk`Uz&K8Dyrsl04(qt9-5CH@bGm_ydl$wjQNH0friJbb7 zcBW9V_ER5`*YtJfk~w(zF;eL(+xpQbc%(0->qnoWi)iT{4}XAlimZ%aejZ->OcS4r zFBI`_yr6TM7rw+3I-_~wE0eEO$NbvhiyVDp@I{WkjqsIP_(~0w|7hYn@x3DcOCI>a zBsH)8Xp%-w(3fcITNUvWo^GF-;Au|<&Vul#5?dy)oFGl-6!9}fj8I*PSxSWJ!;1Jt z6aN#xD&jZ1N`KaiTvf#Hrj@5fPyJz9**v9*KjCLZ{DsY8?P*sgCJqv;s(Jjp ztLEuM3C1eT)0uKzDA~o+RpScxX`FKuWLHnF#`8V9DSw`B8uwsP-i?yZyo<&ug7P9t z@_A3qlZVxLKi*&Q?5=sbdwO6)jg8?NY=nC>xD)Qu;5N8jgAH(t1`onR8nnUv8r%n) zG&mbDK(B%`H7J9FG?)g{HJA)jG?)knXfO`OYcLwdXs|B~)!;NZU4xV16b(**6E!#% zj?-WTtbf!X3@sWg2h_F>>NU6quGQd5xJrY|;BpOC!zCIlgQGR5h8hj3AgDnADl|9> zmT0gTj?myRSfs(But0;kFi(ToFh_$KFjIr|aFYf%z&Z`q!gU(F2k&d}A$+94+whJC zpTMUYdlIuv3F4VTT6W;c*Qfg>4#afk!lW z1K!l&Rd`KH~;^1C)z@&UYS0TPDeu8kEtxCS;dt)a4Oy4ZoQ>t<;`jCJkW#6c;rVW|%>f%QtV zaeor;1_NlP=R#N0}fc@b?Bst&t`!g>{gYy;f198OdaObfPdus zHJsv~!-f*-yfd8GXuwD-ouZ}%nlxx6Z{xo&-KKiswVz59@s@cC}{RTI9NJ=y(DB+1vR zJ*9J}V=&p*{pMryRq>M4YNT-YN`IUy*xQ|C$M_@WPMzn}hmvmBb!yGY_7fxhG#6vR z=(bepr^}MZLWlY(j0KZVcO}Dw`6-zw;Um=(-Pe_T8k$d`m&c|Ia~gEm?ve*K$-Myb zZy^h8ZcaTm$y*25MpE546h97em@g!MnaIfv&KsA2jn8O{l~W^1wz1mD@PA46eY>q{ z`{B{#w;K7Dd8g$kmm4Or(NCM>_`MZLbQtTjCM2on)L&ffEOl~rAjxZrP96)$-=cI| z)%Jsg4&w^x3#d-c4!C=Rk!Co~&Xz0-I67NWFNHCY{mQicEhBjg3b=WH)M=5*em9$w z86;Z|-Bq*s>=K_g-{tqSY=1tt<3_>6Zwe(}!cV@9&8b@^+h_;O*W4Jat;LJL2Dw@L zZ2#Cw@|kMlz5tFualbXk)nU7Bbin#2trY#@M>oC2#{Gkzz&c?SRBmCYDqI6B&+ZEx zWx&+xVEDjbsJbSsuQ%hF?lvXM^lug@m5ATaf~ND<7*+ZWaC)hpD*E;J{g;1)1EJdJ zK}|4F1uSPpL_EL#B>=NT=UQ%JmP<_q4H28>4@uT^Rj?wJVv% zT3J3jRwo+~fr*m!gJCcn$tX7hMxrPh>kgw}KdhV2{IEafJSfCy7-J)fhV-2cQMzD$ z7ekb;_M)7G;3p&ODN<3!x)5a?j5kD?ie(5_Dazfojpeq}d3BgoI?W!DB2gLzX(s5` z6@v!oF|q-9H45d$-(i2K(QbxuNxN>C&(pQTgWb)8oTA+BsA_&r8|%@|o{M5Kb}ov4 z9twUw+nZgWOEMvX9F=4kN>ZvzGAyd_-cg0~4Tbk+8cf1m4oWx~rr3BPAI0fu$k{6@ zXHjl}y%#P)noE)3GN~7)y70m@m~JCVp+Qfg^tOo7$6l1H5&VA|guPZO%7HFKDT9M- zMA^gQy*(|W^tBh|1_WP+us2FYIoO3LGhn76N;zJkX3!1k`yqXQ5~V12ufc6>?{;p# z-uG`swA*yvqDY-6Z#U$frSo=+^7;&3pbZE%&2}G);sY>0&}_CvX0X6!TP$m~cO%0_ z)af2oC>lsag>3kMwv3v3)T1nKvU zIB2MygSH~tqf!Sglsf1zSd=;<`EZNRM?~)lcreo9^HDON z??j=WK{GvzUVBdJ^CMI8`BAXM#?bqrIQvHoJ=)IDFC%~MD^f$3OAQ@>3Y!)-#u6CE zTJDtN>|59y2>B+e^Om%QRk~jMd_2-mh$ueMPVx5O+ z6fd3a_hVaC z@V6A%rdod#pN9GAWe>!DL5Vr$JzLOktJLmZsf`D zi*5Z5yCGmVgwK=u{dgCCKLJj(5#_zE`qVz&h3Z$Z(2hnA4n&(^QEr9IdwZf}eNnQ0=#lSQu5xFaFvb8S}k%O8`n{yC&4GS*qQQF0@+@H`&Co(@jx| za?h}fV=IxnRT{@`md3FSaElGws`h`Vd8QrPNsQibkg?q=WxEY-w_!WWY(z!5XWOxz z!RX}+8QUFFwmac28@6-W+0M0NJD1Tb5;C^CrEDAF9vimv+NU4q+p%5D=*_~*X+6MArDdbaekWa(T zM96DwAh$^&pNWHf7M`mv%>V5h)KjNa~&Dey0;0iH(TV~VCh=7*mte>5%2?q|4`bs-g1A@wcdtz3{j>V zK39v7|5h8Xe{S)*8YNPZ=v|#ije7k(6wU_pHXG2drJx_gfqn=d*@50}1Nyxb^y4_t zPvBEK&^v5Ef0lxN76J1y?L%ebYId+)Y)?;q&iKheGNC8>YAaPOD!l}-QK z*d9RcvFU#t(YTQIzpsC#{qGz2)<*GrEe~7ov&_^s*(%O`NUpMc?w2b59~X*$2jAN$ zzS(@XR+QUjr+8Q7-c_pje7f3N9P~o$@kb`B+xM$61!PhepL58WnSB zRLr4KF^7K|Vp6VA7gJ$Hy1-r(m~&wW{5SS@Qh!y~-V+KiE^M#Lsr6Nj^5oI5V0$^X z_JXQWv@HL$7`BP+EX3R+<(t_v(KC5Do7uC)W)Gpul*!AXlGsZg!(O6yl*bawc#JYn zm}Q=5-{aDk`7nC`6TFn|!Y84_rm!J=DjUzIu>gOc&d%TmTAa5kYL!*Gj*$%;k@z6k zY4lkE{N07E;5nG{utFB%Ud)m66pXXFEuaftA+*+FQ@e*Zvxm+6cC^L#f(ahOHcxL| z#}1)8q+TG;L#5l;a}itVhiIC@@`!Pa6T&c@enfZ^3aCu^_|rYG;h)<#>JtUl=vTcs7Eox?+9UVR+-{ zt2cqxsCilq^Ymm(cqiRF1?))OP=afCy%XbqvpxXuJ6njh>CC%CY{L)6_M>8f@5BT# z$|H0&pBUrCQ25vwC12UWTJya-Sh%P#&y#G!2E-VOhpMbsn64cmms zSCGApy*$QOobST|JD4Bk+qQw_7|u7azD1NJQN2Rw74-5?F}0XVK2Con zpTOprm(%W%rFc_id@=L!BUl%HBK*tn`$GY_%j z_%dv$N3-*I9lMY>uuFL(yNNGn5Ai0poj0>5d6>Pk57zmD z=jkn@3;T%gjwoK%jlILWV@}b1U&DO=;3=QNwko^_{=sITur2t9u|L^S*d%)Jf7o73 zO)NlV08e0`mR;s_j&1p!b?%J~E1&l?I^DS_5jCtedESEc%wLe_DPO~K#2tTm-olzZ zPhL(<)hH!TS<6NiT+6x@6xNLLi9BDPr?yws1MHPM3f8hb>_f&`uW?rN3eyiFBHB9E z6EjtjnmN7n%H>t<*Vp59PeHjAq%d-wiW}KzGdKw2GvlXV$2=9|(iyB5U&Z#|XW~un zEbO3XvyuE9HkzNyCi3&x!Tf&$HkV(-7W0c)C11^&_$AmRE@h|l%h+ljS<5*VM^6KB ztkp|*^~7kd644{M)6waX92zO=^fkPIHWcc)LcJqXuD9-V%Jnh2{u&&6^wn>?YdCF5 z^s-*;RNfbJ5vOZR>5QZ6y&%uqrw=-&AC4d*jv0+*{WMC+^X7Y~OBR1tjq>Tat669I zeSQ>n&hB~ox#w#}sd=h?wRV)EM|UN1lW7OivO+hbOo~Kk5REd05kNGdOe< zuVJAI91}$>RKW+}RgZt3%P}le!3XLV8pifDkTte|K0kv}O+i_Tv4*N!t&s7*SZ{^{ zliecioo&(EI<|Nu>{<#NaqJVh!Hz&WBJ>v(58B55)y7_p->y@otEB09e?)vlxD!WC z5`@>>A>77GWC*XjL)gHF$PnIehwvcZSBCJWJA^hqREF@DJA{AxaLgw`c-tMq**Id9 zAiU!aVHJ)yB?#}jLnz}TWeD%NLzu=#$q?RmhcKD%Cqwwa9l}JuzYO6+cL?KfXe>ea z$Q{CHK1PP{u{(r)aab-v_{1H;X?&av;Zt`AC-d<#gwNa|oWLi@5I%Q@a4erFL-@iS z!U}$X4B_AI5W;^rL69JP=?-BzPA?<~U%5l5PIF!$pA^guB!d!noM~3jLJA~OdYnCAV<_=*7 z&bcKBzq>b8NxsA5Z>m8 zNf3a!L->R*k|BUQgzxy_G6e1p;X=Mxh9KM_oX3xlA$Z&&yv&c3A>_D2c!3`!L-4vo zc$P1bAt+t$5T54cG6bJHgeQ4GhM>Ab*v>0t2!3}6kMc?xLML|!TX>ZWL34-j1`o;* zI=e%7l`oYcba9994X>6V?BWjLOI{;G=;{vPa~_f*?CJu6alTAXkLI=dZ{7a^vjgRM z0RxDPQL}&Ma0m{Fj8Rt))M~Qp0RR9n1CtT!AhR9sfCd6+dXt~^F%B_$Q&+~*nh%d0 z006b5liu|$e+hULMfQKMI?&9dfN%r|$bBb3xIyla1O);_0^wGNWC(*vCQK#>iXz^M z$9mtc$F92S?y3vus_V)g?yl#euI}Nn-uHbg>i<>G^kk-|tGnjd`F~%&H$6RFzu$XR zuc}^MokxGT{~-XFrXB8u1Neal9>x!a_{f7F<0oGHe-u9xSD$u-&d_5$7_#S`o;*a9t@5R0E=^g{RB0*Ouu8=V=(^#H|br4@WGTjS@ zu}(Vk=*%m=GY`vW+zUHcXSx#Ux`+q6;tw8Hz;qJgYXR%#W!+g159=xJ^%VCCSuZc^ z&H8v)e-Z2JW&PM;bcNq|Sbx^n!v?T{UN(pg_OKyrs1U=1817{w*hnut%tm?GXjbfH zC2R~`3B0jvoR^gfHO7k+<3)<`Y=VbPWRtvXvVfl`$fvMw9yV26O=ZJ9Y??4(Iy+p5 z8A1#fVy1`95|7Lh$g{=OY@yn0L`{e^`i1gt%0Q%Y?XGh%1D+Qi!XBxLSy7gt%6S z>x8&oh@T2^gAg|gagz`?3-L1{elElg#zMx^k!aobD$Mra8;OYH#ao; zOM@-pKvSUAA1bYBYija^cGQG@;ntZ51r7d~BIQB@gOT*g-mmNJqZ^JIC6iH_7R5U9&ZC0#0JZ*M_{)SOYf7%+uftE)9 zf`GrVe#MR!e}%s}Xqa}2i)mqcxV2Q4J}c(U&PW4r3#jqDl7RiDGgqP!iW)}kT21U?P_LN(q+YvDQPhy^fXMF9}G4o zSmNfX5j;z>TzINIv1x~hC)2RQk}P+2Scy&7stkpKp)_2}nl5*)f0e|H&?~M5Pq1Z& zVs~mum=$BDrblfyTr@68qv1+SmAi(^!fO`Ea(`VgRG&tXBqhvUkt9m6;|N5vdKU*|CTYho1I_ssbc@ zjqc;pb}(z&T#QQ{*yEaHe?y!>Cny_~m@+HoOiPm+p=-pLdWy6In*vIGOFLLBRZjXA zJ6?prk;tW~CA`Bjg;3+)+U9Srqo(Ri#Yqq+l&qLoo&`M+e}*MajL57$N6~5*psX0a zAUmoc42hpHky(D0qUDY-S+Tn!8;Y323}YN6=BNFwt$|>(`<$ge_H1+z)}A;QbCyo@ zX3sF6s&pv9D7RU!cvNfZT+Dho@>4t!H+L~1@#{ph?Lo0FLeX3aAi9+mW)VXf^llaZXVx_f5OQo?``9J8;QfMr!^rlV5f6RQkHdzwq+9oSK!hpEY!yKzt z`0E;}0|*<|o$X>>efz^^5MYCo6+Abp-?U1s_NQ-^C3ViNvhv3!6pevRIN)ncu+44aGy&TdBNr2=3R;+@ z7nwGWf3r|+S;&$scgsRnVsi_|N@+XkHqcJUEE!BL2HI+hnB%irU!s|Aom~QYV&YtM zc24wWt ze>R&Elw7PODd}NdOOjgcww7eFxd7#2ElE|C#I+=;Rb#j9r@#rEhJgy7Q&mzHi>f)!aLE&A6rPTW> zf?k!;=#nZ&Xw?BdL%l~UURn=P+( z+E<*d@^ILYok?_(^4|7L#%O6AA;@Hmmg+f;OhRC{V=kFgdzG_5nN$|F!&8}zYn)G* zIU!f2e!$KN&Y#6oh?#`ash#R{Vp^a1nb?f{-sR|U=BhiMu+C&;>3$eJfAf);!^vA8_Fmi$cK=`BdU8Y;ENJEb9VK%4-;N(p104AC*V0e1J82!I~?TjG#u2~y&Mi=vCi(}?6>TG&K_V7 z>g;#o%R@T*z0Mxy>;U_N&i*KF{Yhtk*4ZPR{e}HiXMfY#qdI$xf3wHg6FPfRJn)px z{;sowoITB+5#OIhC{57{gh}diOR;O6{e!dTaHJr8UT6Q**}ru5Z_fV1UeMW#;_gd2 zds%1yYJ+rbFzKQV(Y2wve>P0lhU?l0T^oroBmJ32 zu8q=0bFEk_;o2CX*I2HN!?j#1)y9*bwR>(fCBl@nrXsjDL7T|6N!n!cRBeh7Q@J)x zWYTo@U;>jEwfKF_2wf8E4ae*7dX87)H5{+ND>+_@mvOuZFXngwUdV9|p2zWQJcr{M zcqYeF@idORfAAEJJMkorJMaXK+wgdftr+Imf?GK@VKc`qcpS$D+|02a{TzL`k>k;L z499i2p5tm~WcBJ9ht z7xw1Z1AB5Tz-}BnV;7EI%;%_MCysg8fg>X0@B{qF;UoB%!~5_7hp*uq4qw2R96p85 z5C+By%>E_D2nE)N8evu@AvHopHUeUVnHhw$2$RzZMiHi`8%iQfOEVBu&M@aNPFaDZ zAWS*4e-c9|x7I)w04dWYhA+x)X&-zj7j1IrfG{!jjvwLhv{wKTCZs%_jL^Z*17V5V zFkI>g_Tz6{fiPZuV}bc*yK$+dKv=4(cXA2YEACw24y(MXNp0W#tu3goaXmf|BbUCV z$JyCk(ko0MsWmG08SC88$oBxawdu~YX9#S;e+tD{dD^@|+BpEVPv%yMou(8K#-uVE zM)j-~p+sp0&3v`-Xyu0yj#PDs_(sgW_??6QVV?&2W{9a$tFx`v&-Soa8rZIyW`) zf20V@RrB3GUa-&q*%_@5A`DYmt|Zqlr&^}Et1@OT-m#HUBdO=vZx}^>;;>s&qe#C^x2Q>)V^2!>wf7w&V z9olXg>9mDBrL;*eZgP&x+&AKr+g6Bnv0|cIXl{FAz^#8%I@2K9wB<**d4Cy;!`Ml>xVv&t#6#;c``e<_G_lO#WnNHt`)_TVmEg!5rDw=mGB zb_`DhFz#WXq`Ptr110HF7%|vSe|)DC3i?zILAo^-K8MqqZWYWYKTN8c)b=R3%eYN? z4i}+QYm2|Jk@6$)+;0s5HaAd)c53m3TKx;fKXWP^mHdGC?~8e&*+A$W)1f9@U)j7Z z5DGR&{tJ}utFNyxp3%37BgV@%A@mxxFunkzD_DXs9E@Ni^c*$XPNn^MQJz8Fin=HudJDmGT603iOsrQ60;`5xiac=7|07`GA8pwkU@H}1d$V2c?_?H3oZ}?9Xpfm=s7ucOK zfR4t^dfUV=*e2Gji8tFOei2@ZCSFTVYxLQ(WH00u?}rYn=%OQC=&SIq7*-eKnP%`n z5V}K)fjKCWE{Z9xUWWe~JU!tRc-6?X*Wh&e>1^_JvA5?m;yImo&XDrFXW@AtK8W%(M0xrX zd{=@m5Io&X!tPeW?&NF$=Mv!_x;_v3!TE8d{bQv4iS$E*w115BBly_HxE>}~PkZAo zCaz0}>r$z4pID6he-u8m;VCqEdeKGiWS*;t=W61)M#}TKh35DWhzYV5;s*ghzt z`~BN3(hSO8R22bkp=x<66u@oJ2X3c!=njLvI06@=A4>G!e;V{dqx2kl!*_6qu)e3e zod6F(ADv1X#aen)t$Fk*%wTbbMjC{>;*4Z576vaP7{4>qNU=O`Q%(cOn1Q042HE?= zKFY}bR8IHG$_cf^auRz1T(y2ss&z+;);e}_MLQrx^H|WlnD2`Ah!l-m&^lum8;=}p z?~#vDMm{d{e@N__=#gTRg{z_mrHXd9DB1&i+9*22Xn&FveU21;UaDxJMbTc^I|_Yy zwCao@=%F@MM^vd{W~(rqE=I)Hp}u4kP%kL9v=mTx)`vQu!NgKbJni*pOiY(Cq)Q(| zmoc$=G|0Xli480^)qA9kjw9**sP^)UuikGF!P}(Te>FOW71h@>E>xVs;w+(kFLL@V%wTcMlyotG5l z88;@zRpesQ6Udi2`D76ehaprxC6t@fa01Mve>_}3<}b#{u!1xbR!oj)6|mDoJm)CMm6sY+V?PxsyY32=}gAX!r3;iFqLeYCR|~2M#e#WZeH8dK@J9b}!Pe+I?7%_gW(T7e?f~h`-h-!Op@ITaZ%LnrP1QzqLegIN?M7v zer*IV*2U#1kLkoor^SX&JeH?bxWuN{n?o5iSGe3fo6MbO?e%aoIYs~qaSK(L<6tm0 zNqfDe3B6t+IhLi@8yqot8D)KPi&EAe55!v>BKlToi*l5uMOltDHWtsfF?2rNe=j#0 zlq8F{lVj|l!aIQ+<0P{9WU0j~6#My=(C{Gs)%!?xoTj}CRYm^@``W#|Cml~Qq&=1dpVHiKk91+uC1Zl9=&|pMNgLSyx zCeIhzq*fYNYNXq)wqdTjho{Xo)S}d3MqW7q41QL z!lNY$`>1v&PZ2CLfIvhLpDV~jYq;1e}UL#Zqq9* zH=BqW<07fExQn>%Ca!y=z0xL2uhc;8NHWg~GqYFP4n6T+;<=A_ekoq^%vs$tJJul#kdyS zYE#qJ*|_vNy1(9bk^-L~e+Pb&9QY}6;DhAAPfKfBNU^51V%RkhcwQO^v{?dyZFsyZ z+6z*&?H05h)QTq$PB)m9>S*)g7@JHy#yU8~SE$Hdr6PL`2H@)yJibAd|1Ig@^u&b0 z>44<^usD)`JE@mRcAsNS)qM7K@O{$d1JdO~sr#I4ai3jyiVe?3f0L)qI_!+_d`3K< z6VDe?p4}FnQ>hC}cGG&3$8VF>{v zZ4udhoH6jRdK()_`8x`_v(Zv-`-#QdE~3~WIksvvc&xD%8%OY^(%9+}OKf#1US?A? zO*Tc-lvFg6sc5E9(M+YHnJz7w%N2{}3cS+B$Y$GlMn+>se>O*IzX1x^7W({or*f$11Il;T}7Sl5@}Ak3bZ&6m`bC6V9THj ztA_sUC>X<*Ln&JUhqIMX&Q`->wgxt^wb01cL71(FNj=!-YuNQyTb zAs=t1aa|{hHa6nV;2;@025Rup6Sw^6jc^je)p(~2 z;WRabEAW>xgwxd!F2!HT5YA9TxCnnOLpW0n;R5mk3Bp-w2zw~7mLQz1hHy585g76bHgciJChH#M@LK8k9L%3KCVGBMeL%2i@p#gs(vmJ)97D<@KZH}W%xH4!VPK&Rrsh3;YKxth4`2Z z;U+bNN_<>~aI+f1e0)NN@G~`px%i|E;pb`yv+yYy!YyhD)9~*ygj>}RCgVXF!fk2@ ze-rR&8Nx5r5XRv%GKAaJ5K8b_8NwZE2&3>HGK4$T5QgJ(GK62MAq>IiWeC4gLl}tv zlp*|D4dF2Smki-9HH0Gkw+!KKHH2RH9~r_uY6v~>1sTF`)DQ~rMH#|gHH6Oik_=&= z8iE&JmLcp{L(uWRGK7285c2R98Nz*PVF-w?$`F35*7N>=ugMVZS3~#+UzZ^~poZ{1 zz9B<+Pz~X0d{c(-J2iwa@GTj_Luv@0;@dKW-zy*h!*>k%F1}}czW;wvO9KQH000OG z0EmoHSCanv!TK8j0Joz604I~-^%j>569E=~2YeKD_J8j;3BzuNDlMUg9teb9LXnUF z(j&nj3hI(9VPV+~n+;7t5Jj=~6WbN<^tuhP-C0i0Q}4WDJIkr3XFF_oV!=cGzu)Z6 zW_M*Zm^tb~i+T=em> zzO0`Y9%B7HYyd0uvVm+6U2(j@Y>1Z)e>Rano5Uu6b1}uk zrt;gV{FO>Jjf*~95Z-kD!*u?`430O0^Uh?)b1{nqe^$jotJnw+o6Uci&3~xo&#L*a zHLM2-el>@Sxon=7oxtYvt5OeJz~*__LjJ=dPPCYdC3sY2OFa-~iidKj)(e~2i5^PA zWgb??mh;<_R93ID6;zPe$zCXbW-EDCp5i5yQ~5iqxbkY1ou;zWd9t6ug^!ChTr_ae z$c3MawOllDv5t!X7wfs$z(tUYja-Dd2y@ZQ#U?HyT(ocz<)W2~&0K8ZVk;NhxY*9c znOvO3#SSiZa&a~nySUiR#W`H;;o@8_&f{V)7w2)mEEec+f?>bmHkX*KUdi=RQ5}i{R*Mo^gt*Oor$0fFRMo=s17&!5jrgjg#1fd zH?HwVmiyKO=}Ff`;RauSu-+F5@ca0~g6O(H3&Nm9QFO;&C$TdK#M<8Q5_Do zM17&?+;FhbADMaqA#^sI zgV0O&d~R!~!Q%K1NylxJbK-Yp=JbYNwUKbZy74funN%eOWAYy6?G?%FOF*P`@tONB^3C+^M2$^wMmx{GxI zj$n1rt&NGn+iT~4#66eR#gQMOgYH~SI9eZ~JVn^#;?8FNT1$nYkP{C^r$_4cq>~fV$EMASa9qs#)-^2+kwCMN@LMDuNKwm)4-+yWMktE-n}fav|3-f( z>Y5O?#2xCQzJ?8pea-QHKbA06p=~J@Y_n7aOV!Frx{S|%fES^A%!x?Em+Hn=IX6st zIdNoSM$8D^bXR7F!@(3!+)8Q;&!m=*l4?(E_))#i7sw=#7d2S&?hA>jlEIT)D-bI`J&X-oa^F~&v>;hGBjc2#J#Gltw1PA3QsM5o+Iz4 zEkaJbJU&Ys5Hw>**hC`_gn)}z@fUMq{7^Y6Ba&X)?2q`O;fQ-gO67E8AjAh&hE6^r zQX5vIW8obRz3q=I3Xt#x-N&VEU}oKXj7uHZlbU3Ie^Zh}+oc^!)y#=IlQZN-=oE9N zks|HD+JIEw(gv2$%1hs3$BR%Ji(ED~N4J@#5bFG!TK%C0YO3~1PJ%e0N=7wn=VR8(k)Ps;q`8Z+skh^dzN6X~ z3?{qm))^*Krt0RSGjw1#X4DhCWU^Pg)A7m*kQ3KtW@my?^YjKe)0$^e&Uei-(<5|= z_4#X)9dZj_k`a?N^AWxXxl^mxcw?X$4|0-!-8(xS_sr@!@o{=K1|W3RJzM0DCVO>e z8eXNS=ES2ZnUWiAe{3+8Y1?ns&Uf2y;WrwKlgvF@I*r9i|AP)KjmE-EZ}_Co%xCJ8 zNjcv>nduSwC50ZwShdFA5Tp(us(W{Vi+7D}FB?IC4NguRos$zw5(9C*5g^4?x0W$~ zg}|(ykCxHd0Hc8N_5KvU+?tXUSd(@>ni5BT!z;eppSf2i?Yw(s=1)v0>I0i-z!yyM z&28c|1=|)Q9}}k%Rv5V#n>J4JP;Obsq?W&BAv3YD1>+=PJLxviPDv~gOg;wMa)ub= zvwB~un{J(53VNz?J~}%mdLweIqQ0+xDO4TaxX~ACbYItNh`mVmBDP&5 zwY=7e6k=l=pD}r@Pjx0OlV!YWDpyXpMNy-lMT9VLfx3wgL%>^hQYe}-Eq^>0iJ$DOn4LV1d ze1y1ihNPToFumkHyfirMwDU24ymaJGKDJ=-%q@gBkZqLn5yCssCm&nL*e@I9eEMZa zpLA@&;+9)PW8hkK^AXWFu+!LoZ8+FyUDkZU#UmR#a;#vpKPQv4VSykQ{4iBOU*g31 zvCDA?Mcj9PW4$lfs-M7W%ZjCI*83Zxq+S>bH~PoM|B?!Z5l+uZZpSHq%IsLr$W1lL z1%*os<3!=hQS#~3ocvDVSRkG=wWY?yDa>n7Xs^`S9CRZz>P9z(0zQUYM`zm(|IGZ{td3-t-6fP&v zvngJ(r~0#TLvrUtt|%NfoMe+yvO9I0I8MvO_NHuVy7aCvCz91U*jbmW%y!s{m`yC3 z#!k*`S}D10u(>L=PJ4@Ul^+h9va^X!(%#>m%@{3%V+7fZ(b7GCtC39z>~_>8n`$p} z9w?j2B6ol)n{kcvDKjVJMd=^1bAt2d@FZe3p>%pDJDr$TWq&RZW%;DUA_TUa5H^@F+oW22(eSr~wC?lIbS@tV8P8AurGTyF5;Ru%5a=Q^*%>jrg(M5$g*soP1#@9HBCmItyDLdp_N~$dmRu#>@;2 zFhY#~2SZY(ZS&6`pQOt_angK8{>j^d^ZauQqTBo%(iZ*ZpF1A3=AYOIYV*$=4}bGd zY>HO%Z$MI~n}2e>cgrCyg10W*8fl<-LjMF=>i0!Qa{>*2{tSOn*{?Oc2?wg|c8%S^ z?$p>_>~57E;5YZE?4Zi-)!2RPew97IA3dnD->B@j8heO6tg=T`_NdB!r?JP_<0|_- zf8hz0{Xu0`xkd2K#f;KdX!!`>V?Srm<()b1HkD zKYc-EFRJW+?;3lFy{xiV_@h@<_79D{#$H$18~pyCDtl99Z)xmb>}{33!ymn?viCIh zKKJI|TpYq;m3_c}`B1~_aKFkv(%8rB6O|q24?k7ee>C7Xec*~^;JiQG^+t4bGD>8dKnsY*9h>8>d~l%A^6i)>MfRi#8#daFtwRq3lL z{SYcMpK;Wb{>lJNDOCn)${=pnU`-i<%QR)EG7ObkFP^XA z9z0jWUASAr9k^4&?Rch!TX3s}QEb(46Gk))VOYZr7}Rhb1~l~JS`F7=gNCQ$85*v_ z)f%qEQ#7o{6&lvzat&+oL=E1Aw={Si-q7HGRrrSnFTjf$F2*GqF2IEv&chQltid@N zR^e<7XW&c?D{-2JlW~fM6L6x26*xx2avY^$8II6!C=Szb5DwOG0G4Xl7yD^gg1t5D ziM=%JhTS#nf?YN2h@CVnLQTW==+Uqc+iA#9(cn7-4UWM#8XSeMHTVL))ZjgMUxT-Q z;T;VQ!>1a21RrZ~2tGh4O=OtpyTk~c&9OAX^lXA@gqmE0!U)r{2xJi^WDLk&Hb z%iqe}+B(<60||2BH+q~4yNkZWpq|o;O2abC*kg$kXQg(as^L zy_#DkcA88?C{Je|jOtlE!bqvPGvmX?WzsJroFH2uk{dDm>~{|Ttuu$N?Wf&;L?)%j z9M{aYA2>`)qYbq5#`Z4D6dvoBl-c}62n%FQN$p+j?Qrfn1&bkaYBt|fJwD6zDN2E5 zRqos0+ijngbg|hyb#yFY8P2&AX z6jWA81eLz{c>}?~+BK+j(N80&bROE(}a1cJ8Krio@AvkzzU(%KAw)`9{g|-7}-5;rlE#exl^G~VRc##GG|6eQJwycVG z2dBqV6o{$w4uSuF@0aukO5q`R7&^iu@F@Ha9)ri>_wWS#0iJ}X;A!|H{0W``fSrJR zahl{`>25szMfzJf;$FZz>4L%ENP6inKphmoYIv5ewAf4V96V2dk*~hR0ZySe75dw4 zwhff@GL-as8Fm67%9Om_y{4gKV6;&9NFN#f(i`-Y@ zbm-?gndplm{FnStKThZ}L0J)HzEm=Im*`M>(x=(0@GyzLlm-NTT4L?R?;47qi@he+ z_-3BvFNVM%EEsq{XMr)>0dBPD__a?R zJIHH*!Zst_g+RffX_12-a4q@kjAFD*d6>q$nP|%!U19=aun#q*V)+?5q!0T*O;KWO zykmA;o#2d^JcygSA>%_;)5BR2QQkC!v+%6#sl~x^1#aQg6}2oCZ-FUGOyKmu<}Qz# zhyvg?2+zo^_;Y7?W0I$&(!qj8+$efeQX+kp+m})FTae{fKmKieN!zl&DERncQ`dQR z52?DH27s>lBG%ilouwd}A|e^3&P%S=;|b2jrQK1cd}8~FCaC$f{L0{rXh{ z2j6P$F)||+Vej0fQCQH?Ic4iA>=KC9BXJ-nnfB& zC>!#)#zDmc^aTh+Jtsegz>!_vnc;Z?=DX(t!kQxc& zS!>ZF@)lBWmm!7SpNnj zIT*62yF4z&XUKK!O%zkfeafM{%4Zr`v*?fP#gUn+Rl^vBjp`*=RZfdmwY{=o=~1lR zUj&&3=8%q8|0sSg>$kFZgRp=(8FW=$PYYn(u?#!RZhmPrJygr&@S@?bmP-mw8m}zO zDaIAV#KJxn#5@+1uit&L9sO&47|lKff6$Ba9h&R{5+@Gc5Q`z0y9Fj?Q)T@%o!JNN zZZY9y=;UsZ@~V?E`&_gXa^T7j%V;vcTm;k!=?X!yFhv}c5KP`(K8%#QfSd}iTDy`I z$<8%YY>}xT%@TxNp3X7CJ-b%FB|`%&5%S+E_UFoZ1V_cfd&LeJECG`Fb^ad`X8dX)%3G(>Yz^NsyaglU-*6ff<70MF7I` z?vScuG>De9<;%7sdg|wkO&F>aiA&Aks~SMxnVROhQ3|YD=yPi;WoFnv?3x+Sbs;Bq z@#d|~mW~b zcA_&t0P*V+HAHm@LPr40g~T))*!*^q68Y0j z>n-2=Uy2-jW6}Lp^@RGQh>Y=}sZ<2|`IG(ip?C;3qzs(aJ<<~NrOjEgJ*yEHNTeN! zXqOB|q#PM&({VO--@h1fdDL~f{6$;z>v!v(YNbqcIVrWOTWCn;5cj6w7aVXW1t-o2 ze`dnmlq>~%i-o%|>CI@;uPX-G{mivld@HP(U9miF5Sjbkmd8&fX2X$Z*Bd?ocB59H zQpv)gu}Z#eeGP_@Q$*(zTX*Vp7{;jd^`2DyH8mJwDkTYEDQE-YB2DpEw*FH&aKP5r zFne~gX=6HeVmgN3yIsGm=G(NXfuGHaK$PQUiMx}RN6{t9cMj*(l*dhu?|9@e1VE?3mCLhlLE>(2dlXK>ulAE>|Y5m)p$m2Cp z8pohA3lXXRmBMC&{U55oTLhI|U5vIjvgF1HdV6{0akNZkK47nan3@>{m0vY1!u+5f4=fnB!jt2K=E z)4B;I&=yr}*?6fp<>xXTN7WbcUlpNf9Yjy69k{`y9RY)GXVfcNL7dz2ySChOTNAG8 zFqleF8$-m0JJahCyDRcr%c%UV?yBG1gFiFqtp^TVI*Vh1&c2jNsBLXs8U(DgMqV8a zUHWDSonQ3-jm43scE1x|GRxj5lhrZ48RUZ9l6j7RF={60HS;Wo*&^T$j=JPP?Iq$4 z_QP<5L-~~~x+J?*2DZh=9n6n$3Wstx`kpy72uJyqD!LTVjX|RODXfMNL?N>DIjjZ> zL@&~!ghV0(n(hGGBIOR2S1W;n!5$+Cwj?JJY7s*+f`}1?gh?GGspxALhe;hFxxf4h zv*pYk%SS69EsBPIRwmM+d&LwafGBJwi}b=H1(ZQ2&@AK1)uDp=;s>MfNcR=lXT^RECIbS z&M@#7%Ba2a&M?=OD2zfa+DLgoVssK;1Ef4SVr-IUp?ALItA6l>DEE76Q6%_+j5~Pb z9vZcm-Wdk{LK?LC7UgV_Rb2lw5F!fXk12ghCHkOprI zlMwWBzOS4t1omFl=gQ!|>Gf~c8$`1{BOnwK+||2zpA`@Un>f#hlIq0|p#KMcpn#L4 zGKm9VQaAVk2>&rKoB!|w$iV+03?YE$2Z|E`ID(`8mz4Z2pEykd4hE(P1Ot;w?MVWV zq}D8e(=>k(2he`>jgSN=f1q_~K=uE|%K>~o5TYW0`2z_n1H8fi+eGu2Du4y;zZILz z0mA72_N7<&K5@@?47^&$Gi1|PVUjelrC^HC9@quJQ0r4N`cQ}CS z1K~yi(mzmR3?TLcNqhrXL;hRrXA*$x-vvJ<0aQPn%BlZ%>Er2u)el?QcR=C)yg2~z z50st>a*)A)bx)athPobi}KuMkG)k|vn26B+evWN3qfj1W=`Rgg zXkP5t0$-8MzGJa`4Kla1ooRTxd479PU`?N_{)-I86|4u{igcqax=>6Hl8Uc>qtyk0D)e(eTj&=PbwGxThvXz{H zM9O+R=*Kx4;7=>OpX|@AY-m+sXO%t8KK&9rc-r&^C0k(&7J0@i#kl z01N>CJ_DPb05iCMZ@knnpyuC^N`)B%@cc80j{zV)PKE3*z|+Uclb!|;e%P$$081Y> zu|fcmjm%RYeZ-(u(c|M&R`Is%w~ zbUQr(M13IdKLERr0e>$5+=%~$1ZttwhEMMy;dd&L5P&>&^B#cEYfaiRmB`EO84^##N zp8ByLsFN8xvG++#fvH<{|F<81cyN;scW1qmg3-I15SVJH{XciA<1;u)GXoO1&Br}> z51;J+wzh==F8VS5XLRtzkGuRHu0%hOBp$fp2TCUdSNTAHK7ogQjPN7_fBisSl<#!) zfAjsM0yl;D7o6DW!0G;(Oz6NzA^yc+SlOz@QdTgq%Ox-{>QpB>aN<-wCUAUEfLVmB zZa&jk-PeAKR?}}CkO1Y>btCPy-BSkX=u#Y}Y}n{h3jqp-L%g4?2L!)dy+g0%EO_c) zH_HDU9l`Ri*YiF;fdsC9{Hdz)K7Z0z@AOXg&VD}o4Gm^k_<87aTco}JwBC(Ui0|PM zcBhyF@!-v6WS4)F=*@KyN|={82$X~K3Ecl)dAH0sm*(owO9|eR;_Ah?24@>nl=@*y zfLZS5CSuDtSTnjXOxd4V2V-g-hnN!QBy6&uD+h;>@;{ak+6Qay9GAwiNSN+;siRl;XJZfUe+fq*sz-SDYUW2un#xtVcxf zIzqfm>oh3O7*?2Plr{xz*i66nJ84|8AyiS;Ip&a3q>0})7pjstvz~I>C23Az@=dsv z43+#3MaT$EAkY|-r9U@3kfmBne(@oxYz?}5W*v_?ATS$abLTR@myt&NU4&O%d1uHX z$s~^};P>VU3I#$$NLlGEsE0*a((>fU9!i`nuu-5^!L`7!3)z2Y4hPa#i{?Z+4FAxn zK=b>d2~ll?%pdYLc%_>7z{HmdvF{=t{GHos#3nbf)4Y@l#bE6I8C+R`69S$hw)vlg zdL)R?gW_-?sg@4WEl?I%`_3~-lmEyhh! zU0^v8buJ&HA4FxBwS27t2me5Nc-K$RHu(&wg9Mz|JG%$t=&m}qb*fu_eXhb@?=TLdvA>AK@7$(Tf1anc*BGD| za4K(^V6?~fm|Fi--J*&Kx{he?x6^lOMm{;FgmYIpTi?TM_cKPG{(XY^_pB5g z5{kDXCLDx(TN@GSE{J&rAl5j##aP?fNj1X!nTrqQM+M_K0P57AK1Bb!#R|Np7Fzk+ z{Iz*NMxAd8{5K|T=eBSTmz3M#CkkFE_AL~>T6g|kbkNX3cu$|ddyr=^-f2OZXEYd} z2%Og%ZFcTSqQE7F2KOafN}8tx-wEcV^38QHF8meLB`HAiHzR69>WJ<5r3rnT5@z*% ztx-o=%O)j`B#53hUtbSig_IZPj`C zwt@eGO2>~#V*odYa~z zE2h49UinbD>6H2{jegr>M`TVFeMh9kVv2qdrrsByWVyMxnZY>rXAwaHl{%E5nUvp+ z*EDBGD?R0|H+`Mk^4^EL?|ILa<#N|c6R7TQ2_8jh_WDJ*9rCH05S5oKw*qsRVFR<(5YnGHfJuVJ~cBgJxL{|gg|AC z=;GY3fy@}uMTP`WJJe}HJ*XHMDopW#(in2ZhHy}-)M*kuu5ji`Oo@SH)M?^9ws8AO zOsRoh7~>^|04Q+`xYJksf7?Z=ISc%AlI>fd$lB!ad9w1hPF&7#ONG34v&E zGSo0CHIacVa2l#g3O#smu_d`8>NGE>JeT2RYCmKe%jQZ)Vaw1|l6BO7s3v=>YnRiU zsF`(^*(xQ+lsV^@*{UQb;*{p4m5c}1kRm$nJ0 zq4m4&C1tXN2C~oRwHbyBmq-m#=P9{a23!JF&7`haaR`W{RXggM7=q`b!bcuM@(gP@ zh*dRH$f|YFi^sDYn+R~R78X)c)#b~oDnN8qG-fr0AZHy4@7|T?QePAEN^1Qt-wgsD zuCO&zQ)6*a@O$1Vu6mK)w*2KCG+ZTJ&Sp%emK-)3ZqiNz+wav^CM610n%fBG7dU?` ze6B=FAz(B^9cXkz2<3$3-9t)d9*!P5)oynbMa;)N%{7!$%T$#93|(G!+j|LlTLDT} z8B2_$rI90KbS^iMW#GiSn-4CN4J^7PG4&C1#wzMbh}`~GQPKEt-MdUFX*|TWP!>#8 zJ5WJaO+#NT;uk9i5Met=5nmFD&C$~}Q48fz2~(x9Ip#={(LL3OSg^Hk;Hsc@#cfEy zv8Z4HV6{S7?mGq9OO1-vj!0Y92ZG!vn?mes#aUVboNR7o2s~1*;yvicBOI8L86(ck zpLVX=dU)DK`ulF3Mvku{4Ucc9Gw8E!nIjp3+}qrcLn1llv<=YV@<+<6jB7>!=2LP} z<}5re;5F1=1_Fy+hV+@WP%GvtX&9>=*_cx7k>CHbV`!fyY6It+}kLtWW_w{afIEFhvZM%DNEfh1a-I_twZH8u>zPlVQZ z8+?#P^`uW-#Tm(IQd(>|+)_TKWhK)!|VM<|0m70Y$S65cJpb#4XbnS3-_ z6%@p)mQIgQ>}_4{!-nV%1+_v}(gKx~wP#7DN{g?M{))f{Qq1Sg6yJ%|w$75M!0Aa4 z_z>I&-@MDX;>^Btxsf%JBwQBh$Mct}xG~}D50MYw%Y|fnIm5k-kv@T9xuG&KX%)4k zqsk*`gXGm!(qXgmXz1TP!WWu`F%*~c@G2>#Gt_n>be}EZ{mO{+xpG2Lj+Brvtk1TH z6$o7Rj-vZaTFb(N*g~AK)`pp>5p8j9BI*X3L@M2>lORYqo#o|`KLb1I zfQuSTpFyz5IARUs4&fwoqhnOQuj={-y!=2ktOUrUtnLQ0B=oo)>S z(mhN#(`T%r4V@IEIhs4Fzyk;yOU z296)iewTefGpf1aaH`ANS82NMI6|M$MDN*|5~K(~pBEhHh}P=pZb{G>iBeI zguvS>%Fuj}OOeUVX+sY^>goks6@j#*bnUM!4CaR!lCX02c&@=MueON!c}(TN3O5QV zxhB`)Eo&mi6bCH?9WDDn9OmU$HfH08(39k~nB`z^%*=Dea!se`iB8SEWJ~MH76=MX6p4DTuHhV2+F-q`r-M{%3IZj2&yMN}Nl%JezZ6G*>%t>C09o#)xE=mR zo_0k|WFn>C7iz~UfY2bf_-o@|E`He@zp{rC3w`PH@RSb$w1EURBxRLv+QYiUNNYYvp8p;=m#oQI>cYP8%E5 zHN3=-!7O!k@wDbLIZ{=cz=3j=8hJ`?APttOol`m=Jf>u(Fub0*=&v6yJF|u3iD1>T zAn3eZT)3TWa*N6)N-gDp=lx+aBb^whD|wLXlp%P=yS6T3LsQ)`mPRr|&2dNRTOH(0 zqGJU`*~qVZR7PT*nHgt4WY{mE6U9}J_n&_I^gS9f(Ua^HC*3_ZC|M^cWPS=pEOM`N zEljm`TW@N=s@d^7nS1FhZc!?)DX}$61C{5usjRnDD|TKEOe+AQ8#8zJ4g(uZ|i4VGx8>DJ!T@N}9vu+Pc3QD{R#DsE%|76vBzd zdcXT7)FG%sIHC~arHncFu0lkp^VxwHI2~f3t*qaUhsj!GZRb_mR>mSl2AIwnzpkCo z!i+9;|1-+olh8&5Jr{25sOz$zHakYT71+#+H88Q_vKc#+aZ{n%YN8Qe)hO(7Iyzg2 zcM_{<^>`OcX|mH$L|J6lGJ-=7viZZkXWE~I@U@+6b%cvxz9aEuM5_JuM!Xl|$!fZF zy%{b6)nH}3TAIAz>=CBxY*8q~#7gf^rb8^I+=Ho*OXTw9k{HW2b(X&~pW4j#-y>%u znGKgx&g9g%amhzKmC4Z~msWyF3j|Nc_K6NID}`edlShVIHS`_a^J8ZzpojhNt*Z*N zHZ5}Q>lFg|R(_lB(P6W-(wYbLnX@x`Io67U{YN;VnTp_r6yz7q?a5L1*&iJ`xbY{-~-Dkt{ zc%sT+t&;B2&56FMm|Mh31jW1XKqifPb?vvji-jc14UQa9rAM9VOqBga%3R4n=08IE zxgSlJ^;d=iM4n}d(dD(5%x08G|bL|<H`6^q+5NH9B!N(VWY~KsMGfZ*tFQQ;nfid+O~7>Y_0B9$4XDJ9dY+_0e;U0m z>ee$%F=r_YzApD$yV@Wps`%2LB^KUlLChaz@rk1hL*wD1Hk#dxPUZlMvF0Df+QmFG z3cTCyZ%4;<)UORuIF~w^l=){1pBG^eQm+*k9hb;nx)W9}3}^N8nO{v^ZtxbzT7gC* zHzn8=r-3s=$pHo%h9LIF?{^8-gQ-2xs7C7Cda4|>7Nw)<8O|wnAw9Wshc=_jqugcA z40{5KJF5=>V5fyVN@i6gUWPsFI|=Z`Tit9Tk>Vo2!kaY7Q|1T4)U#1tspH`2Ibd$npCu;F`S#z z`DRTqn(Liy?!98}nfuCT4)s=D^{S~P+GR2X=izfiEX_T!V#!0S^&ms5U&n@2rPQlQ zXJyWqmn}M~Rmx2^w%Dtc)QeY(>U%u$t=asd=kAuHi1!Mu@%aua$=zk66B*7?&gErm z66X#cD#`0VbwR3sHnlQ5tVcJJ+S&H(%}NFxG~AiFI$&ct&BRZAmdG;dG`S^J?~Hyj z?qZ|%1A&P%HC(yWPZ7@m6^C=F^&c?%7-6HwW-$qy&Qr7_J){NJ9>hTmCnEFeX46*V z}5%sG8o+RKm>U!)3{Q&1pL$$Z~1XaHxT=t{~n-%P`RV`^JOKbjMuk& zLbYVkjH_?X3KjjBd&#smwSc{(>d~kE1+4@%H9LbCLJUlu!$n%pPCp|J$ z!9YdHa)Ne)J>+agqtxy#s2QzB$9x&qI|IG-wPUQN^3#h%yfm>F_YZHw3pJ88+4tD3 zbE@kt)b9~$Sj8%ItHr3Z;1iP@fg*b5oO;m zmcyARa5j}uJ3=bsebn~sfCZU+L=+u1MIi3Bu^bbB7a<3U^D8p-y&Q##1bR;9 zLww~L+no0&lGv^=irzTkw|+*`&Tx*}XNIoSp3>r~IwRhBlKIduAGFj)W0a_Nd3+O* zW=bPR9R9YSIbf7?={}ZW6H06xruDXjoit%OAAt~w9~Qi zf7JF=fugD#o2aXOqsGm5o;gl&#lBU(d7w-0E@+551dg9&@7z`?P?*WmFo7SdIqwd2 z@uPpbie}cPnug2+IzfN00*Q?Nx;5@RA8B*hGxh+RF6$SEc;PSFM71jZ;>m|LY_rd9 zF=r-+R(qMb>o5F?g9ba*?-f!s>Vw2~n%;%Al#?CQ_jr9(UWdR+Iqj8_&)N5&_dt?B zQ5AisnI)o7^VZy>ci9g5mt8TEqb98Ui+WX$WZR%PRdlJAY zeI}Xc^YnPs`D~5&WsdAwd7{q?>jmVeSt?NK1-V{d!2U`A(!Zu!8~S>%v-4gncw)zB z3I3wsnq3jN{zJlTk&kA2yp&GgKwrJYt0O_8YX4H1CZ)vd`HC{vOJ^9LJXc!f!E;eX zB4(bi(sXXgDWjw@8n4=m#ca+q+FH~D&Pw^(6qQrC4sZ3qpCH7s~nNd>EK@Y!R^$h!3xPR2oyX7L!pZ}|P6~ULn?2sAY&Z1ENq^2{j&!-uUXmr1-gZHc<&MMCJ%zxv&W#VeaQrscTVoT zV-}t1j`92h2Wh#RzOp}i#-v`(<1y})rmH?!;R#0MR9xEJH{x}FH^{q;dZ0i6rr}jn z$baU>4*z9T=RcmdVEhdi)PBFMR)JK`sGy%cW`?g1~r2FytotST9k-|d{u#+>u|Pln!2bnA(Ax~IsR+w`EK8LwL*tVMp-TB7OG z==U1R<%vH@jZ3e?s6hcg=pK1)A0s$#OyU~2jv3_=9pONshF?$mK{uS4h5iQ)nB;Wz z{pAa`kvp2T`Oo4fg7M^<%GdWBbjJuXsAI9?5a@-f@A^6U-_mt%) zSU()J|C!Qy+enf(XBwg}^=y8x93|HqsiUH-htKwv;=Ju_vaOX!RPwE_^l92*t=s>q zS7Zo8Q-A6m{d66HDnB-2*maVUG)y9=izJ|3HzWswO&^Mhekw+MS(Qg=8-wCEfpi}k*d0Qim0jilk`{AqEtUaJquUC zqc5@1v9nZK3|CNiEDg+jcfv`(MQJe=g~M_1t!~aWohqVya-#c^HK>Z0RyFq7@@B8JpSKK-Au4z(ky!*0UKM5rcE({>`UEQ#- zHRquBph2GIEvqd_n>HK1G&;@>RN<{bHbFB-Q73E}uLBFaeXIA^NU^LQ5wQkLhly;7 z5BzeACi908|&+ z*qBwgtl#7QwBk&*1RmUcCYP_7=9v9T4URu2H~>PJEH+5k_hVqNC!%5xNV|H=6#C&! za`XcRnPaQrjCfOyz3<@u>dgZ76(yoCu{d#0Q1O1(9{BQG_6g_a3#K%_#}dy?JA&V= zy=hC8;KOYv{MKBNgmq<;e-WeupT;rL6p&2S>S5(-#xPC9&B{-_5s(jFp6|a3C!E_C z`3ka5bGkwAiX~4`#eJ+y)HLzwU|<(7qbNrVrFmQ!)T{AM_R=(3%iA$tdsme5@b{|^ zzRW#O4)0*Df4t2?lTaREM-#n!q`8I-tsy)GS`OHoa@Ka&WiHd7YPjRKXT4KROhG0d zwe8CaN?&J3AiyqWf6;X~ugHWh{%SyntOj9&7udn!aBR=2r}YIbPPh65K;eBQhGd35 z$L#pK=@)>O%jrWxCR>yVya3iEcK|QU9$YQ~+GtTvOsAhsUgD*ScL2kO@z*^Ki zF}tVz3g-Vw1o_PadYQ!V>JQ1C$7ULB9RD~GAi&FIslc=3Q~x`i1vs^il}nHE-5rFY z^J|0`va(5du_I`zOsEID5_OgT+ej{8g{~D!2kMH-O+y2sAz3H{a)nS0VNy8dK={j2 zB*J7Ez!88TwjLcUEBA9*>In9ZoFz8oRgK`LWSLd!MLYTy@CF4eo0^Fm&M}$9zmNM# zCvr@aUyC5U4>!UzG-Wf*Rzy*iTsYm)_gj3RR4vL9e+MP%7>pL--Mjpn77IRcq&ABJ%0 zCvthG%XnpV8aW!6J354|IrlpMjZSV)maB&!v*I-EA%f3wr_6C5J|Ip||FR64 zq!fL4iTAAz9z8Fj?e?HMr%OKvvp(0K^CCNb_K)g%%6xtzduyh6doAv2&v^6?>$LgQ zidK$dRSlMYD{Sru3!9)PGYRt9s(}cv1prUOz&PS0hV>%lHREtvkW1}$2aJ6XxcQMf zw_tIQ6m>G}10pKHYF|{1X_;yfjnAcRJM+kVa$aOP){&ROcx0Nusg6 zKw|K#NRT1>28Djzmek(4W)?^_mSkLohT?@-Ci0GF2Ud#yvB#k$lP6=w7y$P~>N}{F z>G~>ui-r)Gs!U&P_{AR)s~6vq?-_!l?~5hR?~t<##UE8iRL725qXNdnCR@2v#oP8` z1211_{z#}gY1SwrWO{%Yp5hw3UN*_Cr~X6M9p%_D&(L@K=kTjFhTy{ zbu2lxlQD3Y-;5|M@=bT>G@PF~4#PB@JJfC=ttjHADoq*Iu~~$UKL1I&dzS+(440rB z%$Pt%y&baTc#BCB6s~_D#sTDrlK2`iXRa?{pUP@ynP<#QiXDO8Xp3^JWCVX3b9Dan z4?v~Dk-5TrTKQ&ycO05gjJzc$!KG>(bHJH$cfy+f@NJp%s&mq|d!z0gAzu|C-M|`C0OaZA=2fYk}0tji<2)`(%qy z(0P_fc!MFbBXkTa$Wh9h6sW)aKN%AWnGn*ux3!N{@;aj@eDP47)Yjc1s+(R?r6i0WMcS-AEp&TB#Rw3!X+oBkJa9>gJok=x%=+w3Nkhd4@-`bmKUuKd;VupjPIPE0LW#8rW3%6wdc%qc<#2IBL%SS$kn zb8ILP*KZccm6T@Iudjx4zwu^{ti^N~@F%Px{@}r{?G$WQk+j68Xa;E0j&PQ-&?o;s zr8=-a086qD{PI6!2k?UH)JJITeC~1NfEuj(VHsB3o1qtaszd2~6sLMIPWzc+*r#nxJ$+QH2AWkaQ&1^8nx<&ulfg1irpWYA*sNU$ zA>8x!LO-dkGpTVWgx5ah;*Cr8h`7_T`rF!L5tdgUujaCl!ERBR*XxFbSrw+`$^t$=}EahGDMu z6>SXS6{&e`3}FJNaB7RmSjaZ95nf2fzY^09($YR>9OE(gfk8bAeMO$(r{j4qp`r{5 zX^?{GL>c!gCWxs?p2||p9)^0_66tqWuK4VXXxzS#-&Y#XOpM0PvM|-oDiQ74K(1`k zvSf>ZjUTgxCTnsZwT#11#0iVvN56z)KQQQs&k?$6hu_C!A?ujf$c%76hR_m+=XfAC zes4At9Y!b~-LjUn?JOEeOQ)iXOECb#WL7z(e6z2x=bdg`3+Y>2Uy`}YW%Dt@TD6F$ zYCDwFiPD#u!@U2ighMfFr;#pkIUOq3j*w0%X1ZdYJc44}E*@TYZezk_UW5)t5ElTus zQ5qq7H%ze>ld4Cif>e{th?b;1b>ni-FC^uzf5LRcPNcdj= z-P?)q4t~j~JDl)Nwu$hrFb&CvCCB(8-R{CFVAtWBuT}-UC*er95y^Tl`8v3?Vshb^ zw8E0hBj6Fg#G8nV1ZQaFaHz5v!@^qUqOP8~4 z8v*tXUwNBE%|D$elVUHiU-0RMnF~}WUD@o1~+i|$H;<|Ag96Q(l7;{TG zN(M81R_HG}s1-6B8m2D1q@j%uYF-8N4@7!~9?lIQCahvhIurlRc2*A_@*9``%s*J* z%znHD+f!+%y-679*i%y&=-$1Ii&*on-PRa(WeiO>-?CUXm{qF^x^`YbY*&b}5c)zO zVBx}eBeG!+);oGYZ-|D(RI)wn$Po*5a4DzttA1R&+1E16npSAQw2#STy9;|4&sr2! z&a6_%j6Pvh$B4$JOI+Jd_#sB3u{2MkG>;gul5Sr%n&YN!Iut7x;fb!C^65?_v_ci} z<`WcQwDoc~qIa$i=uUNZRAb6orH?1~b5Cdp`xz0L->DY7BtKp(BhQ8HVN5|N*yDYW zm!_I1r<$mTnsDs$Ah4h}Rzy_V&NhJh7#zdx2Zq}_Q1iSmGlh|! zJv9j%%uVK0_w_;XZn!*NT5$9dc=yu4gw?pyYj-%wIUo!V-;yc0RmrbC!9K#guJr@8W>6W8xVO&W<-N;NWeWH zNRl^Si6B4(>Nv&qI&QJO(fS0^N4)@xGjlp}g$c1G(Yl70+E+z3cr|q_~ zpPBi$57)vQ>e_eebJ;TvUwvake17h}7b@IK@c9I4Ll>khL!WGP-?q8Gyqsx@OKuw0 zSc$~3qV-be>l~@QiLEQrBowU<%|TIgu%+w7Y`PI)zOnYo5nD3EJ1TDcS}t zSi0qWDvyHj^6PrNtoS?~j`zLt)`4Q76RiQRNb4M!}J`;_<78gg_#?9oMgPEc=l@Ah!{fZ5aC$`Qf z(Q69vYdo223VS6KXb0Sht1eF4-y`J|>M;*MH#kll*Q~m82lNrQlasp^1BW1Z!PW0o zi(z+!h$USOfb5*azf_(s*LOYeUd#e~8Ee&bF{%#$Ln+NJ_6%{(p50Q-w-B*0aYtmO-xdc>Y^9%3K!WVV3$^dV3V;j!`kT5x z?w4nSK8*)A@6UR4u9Uw&(JYZD=ZDJyDolPNe+}D!u)FVEB4#%Rxe~jl{q3`S1)~#! zjJhY`N_ksvK!vzCGq|UucKbo?M!hfqk}aAm3Z1jd9o|-r2JU&>4D-z_cF=WLMi3Pl z9q;H%1-+p+e8S#!_7BOUjLR!#Z(Y)O6+R(&+LTW^qK^{x^}%-)#_WutNr)K6^^;^U z?`lOi=q~T}$2OqdT6|;EmtB3(Sn!EwPvRsS#S0JzK0B!`pliWq_qxBa;Z0e9av4Eb zF^4Wp34+Kw5AaOs-7u{8vNdVla0AcmE@h>RrkAu297%>OzwHh*r7^3R)mwn-o{ef- zc%mU$Q^HZpVk~I13La`B?9Vy{;BU4SWKgJ&PYW{FT@`F^z3s^3P=kEh!V-CS&dohW zrJ=g5zryVz)+UjuD|Kyd48yuX$N~xK2PXMNnpttAD>#t!O85?Wl)ndQ-WYDS-o&~e z`Ef=!!jzv4m=ZxS;?HDrz3=MjZ*z0KRENFQefBvr%$B1>V$0R3ba&4v(RBS z&gXG+fxu@?tqMjj)%B$w2Pr0jSC3N$`1KTjM1j|sv-|tOw98BR=V~D62=+euL3l#E zEr)IyQyz=j42E*VeJW8~Gn_(u&|$wBr(eSmD3eUMg!~4(`zJ^dBIaUw=H=o+;4u28 zJN#Q}eH=I~Kaz(Zl@sq)h(fQ0QLPSma0`~N})v?ls{VcHZuA~*>Z?C2o;)NT8 zFZx(6t!bn^mJwZN$w)5Zh8fIk==pnDJkP_v1Yr3?C`=_qd4&TAn$kQeDC5K`zOZqm z^uI=~m~gs-XpVY>MPEPWP5?$072tgJnkjW8WgvRfGjjxAIbf8M{wS9yNx3n3+r_5p zymR%3hz8fogK^cSff!KH2svd5re#|3=@DEHxSxk5*)M|yRz2KUI#t)@;C!2|>sQwh zZ0eNLV~kn%4dfXCm4XQy&hyNWqAD=T$p!V`F0J4y>8(ya`GlA)i&(2eI$7axjmFAH zz{WgInqgLB&0=fy-sX@5{Wj+fud|I@Q=pN0QtX(R98sFa2OsYRGp>>pX8x|`nc0Ks zkw^QPe+EwO8;5nG&pNCFJDFcJWZp!3Zzj*8owxQta^XKo3f~(J;<^~%2F+xXi5UqP z1U__@oKAk|QI9uLmA*vZ^C7-_J{gxT~A z`P;vA{yoVex~Alro{yTXwE`o^FkpXG@^W!4xHxG( z9Cq{1qdlR(G(3h8;Q_X%3u=4R)KG}uN&-aP@ z=0fVDoHg;aRP^jDU25RyENTgdv@rYwAR3r(mZ&aZM%sVvs$^GJM)60rf{KA+fo47# zH}<-Gg1IBvwHV2WjVUebpW@Nu1 zKtA{7pQjq~kEyY>BD+3%*T2ivx2I1i;6|+*pcHdWfRYzPmlu2u3@9cBfs|9Q*ZFPe z`cUz5#IDgm%R=?@T4JXgFro$1-)w?C@Vuqb;=(RGjW2Bj7`6!xX@51+!Rv6?XLvqd zYa;NyJd~p$uk+JtDz~Gs+%YS?J>Y@#Bq%DiNY0&iwJeqD6It!Zh)OhQWt(~ zz^z6{7x`oWiA!+}W-&5z3&{zd0HwKCb=%!jat+oXqCJh&y)M?66F$7t_PeFwbw5CZ z6i+**B~Fh7sSdio?-cWr>Pe387wbTxZ<=QYF-7)XX8-jwi1ZS?Te@TTZ&d0-q6l$? zm3QJSzN0yb!FXT$NEmJ+hrg=@a-9j@Qf=+K5*{hWPX`sM?YEi&$M3vw_4k?X7{<+$ z%Svg38+8RWGlU^Kj-{#LBGM4FZjwe&prKfiDZ&u5XFXoH@-^kdIEZ;Mj8VKLYY&Xa zZKlOgkyctb(5XBD`KprEPHgW9SASEUV+Su>^#$@Nm0C-|1?k&VtVj_r+~j54yP>t< z0_SZhR-};^?(YR?Rk_qjli2%8@s#TOW~>PED={w|i3dj8mEsxITwB4n3BmdTrGr=z z=+{=l5N{8Rl`F24&XQ5K{`I#)m%0qIbXl9HD0l$Mr|lKL-V;P)@jPga-wd?}72mZ&eK)P7|S+XF<(|&h+-n#7FJ6COyEyO$YPun5y zvz>BLJS*Xr-3Kc@#ltL3ig*eM*YFWGEv%1M<%Dj7*?UW>hnvj(MAq(o6Rn?)^omTzO zLKegsV}ZhOfWF(4bUNy$Ce63~-pJUN%VK+N zcCT=4(Uy(=oP^EnT$e4Sz1sT(;`THJYSdqi=LRS8VX((zH%0i|@?%@TqsM!@dZ!K~ zjkB%a<~_Vm4bC5XkFBj7@1}k)3f}rsWRYj^0=tks*@knDOMX=q&r|ZtoDeaK8K2~QD|)ZQ*st~HUYE~-HCrde8*@?` zKF<>cS1%?=QoeTmx#lIw`blj_qd3;wT|YkLd5p{4kVfg_xkX2xQ=xMnEC`hK%gV88 zMUa$Ff9sb#pKk|P_2-h8F(D8|C`DWj#lctMbG+Jf`0W?Drz5RmT%XSzBOp7?MwCZ{ zu1G|QO|=D2T&yO7A=kg1Xf;q+ooA>Xq^#uq@kae6VSr_CL2N+`DU7IO_?rU zVm-(8h|!>|DuMY;rR=)A-)z3JJN>MPJXXubB)PJ>w^#g}r`|gCR_^yh`iuBWLkqtO z3N@V=`KqBW+35FX{ByeQUsmO!@3#bX8?$ZA8+pc4ez&KmX{Dbux+fp0O&rM*!a*~h zy*$_;jLZE6O*?ygkE#Qb9iA~wjW6R8{(bjr0tk!uVZ8?oYBoM&Hn+t)BuK|VDrs2` zCjmn(vqn2kP?u*dn2lb|4Ae-1SIg(Wqtry}k1`s^iPIpJw5&?Ygk<_eEfJO)`j<5#g63`VXmV351s$mR|B)ZgUJ?K??=pQLVLvY;qRb{c=?y1V+xN)nBW@%w- zifhoQ`ete2YD$kV%7a{MlJj|_H1RYgHKOx%vo>DnDrmgO_s(jO;=z6&nQ!(&S7ih- zE0X4YWOmQe`aZl8yxl=9@c=6p0!5BJ&R$w%WmxL2H&yd>0*th?iY z6>6?s;Yd1~%*Nu;l&N-1;JhQucv!9)G6^3e#u<0!)Ob%>}j)acPG) zkVLUHtkahFkj&?})E(xC+Y*J++E0vX#YX9*( z-z6?pDwTMD^n?zJO>bN8D^q?u7(u}nE`dHy5bl6u4WdDS{NpRnNYQQxK}uSVfguarNdJ{wrgl{h)#kjAymTT?)1vEu_qYOcv7Bgmw*E zW@-y98QGBN53X-$N>sG;3l%plSnPSw5a&iQc-;IGq!SX>N{jG&mHEPZlqEtrArnKU zK}7^CLAs^w`C|vh^LwQouVtRBm$7dZ>Tr!~TY1}JWp+qhO(8Y-7x_<^6{u`;XJMy0rmF2>^&YH07+O{ZBiOpXczA0Oo z%kLDqSF0-$WH7m*MNewLOQUH3rbXccdlI1*?W;0CI!oH7#;kF$qGK7=k0UHTg-B7v z6=1bN@E_YzytE)hF@3|djau|L2y_(7ASWg&Z5V_3Nvfj9Xqx2IEG#eQlmA%@JLkvt zwBeGrWG!nPO>PiMga*)IyJ^)OUW`Sc`Uy1sOJ$3vjipS@kUZ%2$@sQ2B>mf zQ|xehqQui*p=idlE{v_kVpG#ZygW)`QVuSbGtay*)ySD6aL7N9UDsX7vLiwpA^e1| zAO{hFdg++Tp#P|LDbud<*O`Lf*&Aw!o`<}{zFVF`K0&6JEQhDghSa_D0oV zF51&x|9knU$?KNPF)dTU5fN(&FPW(~M4q3EFh)-b2v1(5PjonKrfI(ft(MW`y6U<1 z%biMViCORBJ1zB8 zLE2(W)FP0iKPTPKWl za>9V=-5~z}nK{~aB!LqtkIbhBsKW%=G6LTvxC5V9!1wE2eEjows4Nuj(a$6%KKRr( zX7K6l!kB^7wWZP?F@bs-9ykXNKi0iqe-J!GFdKybWn!mARiS!^s-+hppQRS<(b(YZ z^X%Nmvl4*@YOku+2$qRt3GiM%Ck+pZH!j|-O;8IH9(%pJ|XJep5N#4DBNf^;ozd1gT0!mW&AY42H-&E1{ZB-Zt z$5Sd=J_02*b}#+rDlRYK!cGX)2OhdC>0)}CjhuKV#`IWL^`lVgfX`D2Oq&-bh{@vf z%*tq|OyNW`tJiHUox0liG)jcGi++2kI(BMOAqr<|m8sqj?M?6kQIRayWOwU%& zGEF2SgPAK7PB3zS-wVG&#{I>N%5>Fz>F%71cMc!|9Dy*qhyXlvWv7@YWEO>NFYul2 z47qjB_-QEh#Cw@z-Qx%a}e zqb2yx;hoI;205a9@{D+z5a#V4AJ9?o(3mXY)x{-uzYg-DDH4S1-tUOEJb6tZ^1l|_~Q)Xo$oLKQ5d)jAO zBU$j5K@0NbtR2QvqGb6DG`^`!i;?T*MF*OEcRSMDBhe)MNuKP5HA7;G7g9Xah1Bdz zN=@&W5@3r~6}kDEd}MxN!Ya=SeYo9;Z!1TjGlohqmgBhzUFtJ0=4^;7F!U@5MHLP%0B4i8@96EFx7?92 z?I%0q?<_$6xU{PlTmm&)JQ|DK>Ool#E$vcfeA7V}N4_r478aQIWoWoQmg0_L6p5Ts zg`8N}2alK`v$xA^5QnZkrxY-qS0#%`+Ri>G0~|-K=BvPYkwA7#V&mOjQQ|n8OD9j` zBQ0=I%zGK}?fbawOyS--aq5G#d4+zk(vK!{?^SD;yobYF@XGc6apcv|N!v+6tC(r= zX{eV{mIIN1dG!jlowF`x-!_`$&Nt>9D|(g#&KKakR^Y955Eb=06;DIT)GRvw_L#J! zH^V4U*S=4Sn3p+t!aLj=g4?(dL*`Tr+9PZO?;p#{bR^53A9hCSUgOBAAP8+yQ3>* zw+x)&=nR(>L=ah)o+=TsCxgYK;h#jyxCy6CrKbx=IAb6C7-CBHS6R();yWxAaD{{s zK#5+*J5y)3@e+IiOI2||U%?tqYd01f+4@*g%_~&c%nCr?x`J&?93=BWb$WscnJGpy zV7W!x?!wyr;6 z8armG2&Z=qPNlq5)J5M2rQ38jx>fm+Wih00b`y3((JRP+!wHSa`w1mai=SpQeA(#s z=!Uqi_^co)ow6-lk_ zSC>5BkA&oMDy`HOx8Y}at%NC^Odatz&N3Z8}tTb#gT)fy^A z*9rzf2sbx0ip&r18l=i->f{s-zh&uqYeJqqFhZRC@-9uXpt`yh2N8pf$S``cpq{z{ zk6YwZxv+!gTHV~fQH`SG(`4Xf;8=sBE{3^pt-Enb((EB_Sb9;l57Y_mHgHqf7EkIl zw6QdyHXAM#TPDfgA9Bf?jpIof5vp9o+~z$MAm~J8FB8&v4j(9q$g4+L^$JZp$Tpv zb%xq1?FxtZS-iMzj4MJBtSWitc(XzQ`CJSFVXXbM8{n)ynhoKsKDrH17VEtYsw`I8 z4cshN3%<<_3n>TM4Hx;Uft5Q_267{sTM%A@hU9#^7qyA`#Zm^cBfME9bhbjOeAsT( zszNVnLy2Y;ZF{NSKhEsYJ5@vsDi&35yW4?`edx;0tOwpL>~E)JJ$fLMPt41$h7M`3-w=3?vDkM#GsSIW8`!T3D1+MGm8YSc1f4BN<6Cw^6%dv>PEd9OS95!wR#7uoQ*6z0J(W2R(reQ-=d>HQKa2S}Z< zSjXx1x!{tDrFq{YVGnTz%n(Gu^fkWyp*%`(W&Bwo=x~unTGUK1pt;XD2J$*C&b2(I z@ln>05u3@w5ph(dr)-w6|P*BPWNP&R$`$LD(;_t#2?jb&dR(nDCe_gl6*#}cfiSK5xZ zD-t@wUh0d1A5RNKoyjbH0A5z>ct%5k)KkF6u|h44zPcT7h&NrE6OD@wvj3>(hy(F! zy_k48+@vw4(=|JE8VH@{Z&^VmY455ym2R`ZzuPmD<0L8=(8`XExylYv#CvjZ%0&D< z14rkavrbRSP4HCq4u5j}$fyuTIA5Q%TJB z$;cxzde_;>aK|i8v9YEJ!b6|OeHs|#5|@{7I(~}7jt2`bv2Pi-wJRq0R+D#w#thLQ zT+B;*NA}bGpqLt;$YMi+#S7yEZ24ll{Ep^CPIXgT^Hf=n^JtU(=vB3kchs|&!ze0> zIViHr3(akXrJb!rIQ{od3m-t(Je6{-AO z#xu^+yt?8eT2W@E@r6&%;8k-U&JZFS5K!Q~?sqTb4WVAbPau%y29Zq z<|fMUopnfOJT2F+E{orqXTR_#Di4*dR6MJ}2!$&{5AHGNJE(<_qbk3HrP@Rza}HAVc1#c8~?H1!2T$a+sX<6p)LnDl%)w>UlhTIW9&Y zy$(%A?~))>6$U?EwC19cd4v*hG+1qE(th-6P|KZQb%;?5BJC1SFzi7fnfoERv1-a( zm$Ok(zw}*+6-lMmfwvaQWKIdCOM@|oq0e58MUdiWHNmKzF`kJXQ<){!)%O#shRrei zRWoh%izOW@c~2B$6fni#kUT%qJCM(63`pzFrxjOld8wBruKA6+QL8*af){wdtSoP8 zuQ8Cat=GJA0%EV#y;>IVh&ZKZwfr;#Ca*OG=0vZLV3Do&E5TC#defRYYHrA)rbzw? zma)^EvT@C6ZJSFUIONt%pz(?ZmZ=7fP zCF(0pU|yRor8zkF%k20zi%c)hB@}blXqRi!9^1T<#pz@@Ny?fD0`W~hC23xHL5kg9 z6WX&j5*1oS%DGE%U*P2zaiS+SJIZSl$f@x%YgycqV;WC~64G3`(p{s3iSvdcE_(;y zD!u2&3hM>ZnNV*zQ681vElHVW`#ci$&lWSp=T9GO$ zM_6L?N4{-%>pF{m7NH*F?5?n#bSS`Hz>%->qJXD|bsA=Xy#YzERe23ViJd5!63g9W zb_R`W0V1lG+E-0o?$<13>s5u^zWb-zSe&jB$Ejy6= zQdP3tUGLK#6;V^`N!_$%ZW_U6TIUan=WZ%JigXomioA|yJMOvE=sga>7rlvbc%kfZ zBjHYnXSLj2@t^)6!us0!s0EY z8@#U~jv^ePyc-@}I}=USjiMas0wS|a77v|Sz|YZ;B54Lr`JjIyZBlOYNqRBmpBjA3 zJ+kXs)irVe{;Z_Qi7WlNdR>4Dx06=YhbW0uRV$D)1@lqXY2ypE`oMFe;HRE#T7%Rq zHL{Sb87t%(SzQ;bisctm0AZ==e_bpG+6ku7I%HT z0(N*o;T4=wbLKA3$vkOhzlNl!Lc09OSBXrOH*fom={c7iD8mV4)NyR0cgN934yfFn zpLeHV8+qZSn5eaMpTQO`HR^bY32M#JL?(DJ)O}vQBuS(;SfwiL!qKC!c7utTU`vJ^ z#p~NnwgeK($PNr|A$O*8g%_zqkNT|FG0__@?nL|`b;oEllRSY#HD(JB5F5;gOot1M z<33}3`SH;U(Q*6+q;S4k1ZGFi!k6@Lfr7+Yg=@%LY_9?gg4$mVn&|gcy<#M{-DJmn zna>}g!Td7nxgr8qkJA=&$%}R75_9b&h`utr(7*z+=qv%5CDAs)ThnPGIyP2zcDA)5 z5sA$*u}$N`;e|w)R#m^kAeh!-!EMLUb)GF<^?06K`e!jVp`%&5rnwAFMHB6xDx$r# z*LrIwiH_eB9ZR?CAuN3)SW+ff+MM7GebDrSU*`Fy8CA2pdjQHw!6Y1;dgq`OA4GDU zfGGYL=JO*NsL;Z^B|R7U=UIeFUWxlw{RWCJ|}1`B-`mRCkbsi$3$VIq!AgGYz4}^72X07FENA;6{*F` z{^clFe~|t{P11Y_bESv$dzMXu@Ugl_I^Rrtya`Q>WGT7fVxF3M4#O$4OXQd3Bb^v^ zzRr9Q-Zz=T+bc;6|4}Z9gl|XLejs}h!}}fk8ggp}x9wi2s=_<*t^uaNOlrw<>NEAS zs`mXscW?-tzVYy2B$v~3wQvFmL$jzatxWYJ_J9Oay%e~R@&FSArT`LNEZ+H<9sJjm zF&I|a@=u(+Ey6Xm?)#WXzI>g+O!`=YbdJ{kLs!LuO)f^A#01e%ilp5oU4?n;pm}wr zP?4M;6?R94?w!yxKlwqV?^Qowa89ElT|B5?v$S)J;C_#L!yZm%8+KsE46*6Rcn6#( z@6c(zx|lSeD)|1X$@0^w3IB0J%A#=hMCT3%je%bcL$s?@%sExAYzUY-ks`GGoL+BY z-Fk25MZE{=99ePM@TX*pMV&Wh;ORSf9l+i!$uBSa1fSV@?E`0RROw5_tTKxd-CWan zRPJV5+o-C$ES8%5grk$T=#XZ+yGT|Zr~DtSQN{*aJI^w4h?toON3vAA4*u~k)QEY=yUd|{vaRToFR4T(!aw^D1A%{e9HRGWumUVD9 z$8Eg@=IJM#%}J58o`UW0{bg24^ASW^`4{+icXjP1BvNT@6ew}7LRwr^YtS}Q?t+K8 zF}C|Va=lP)JGM9a#zt53|XNs@W>r%!O?3vbJjQXw*-x}L}2p*((<79wvKE^a^vLK+bx4b0YJ$4tp+9PHzeYt>8mtPW>=# zJ=NgNhrte!ob`+Jt%X}WcE!qgcjT73FxvAEVfhK*q@?igNDGvpMAE5_z-MhW&3oWU zd_k8ibF{Z19$e}g&u)(kH>8R~dF~;I*j#P;e13W>6@Jbg^nL--x>UbS(K4;e?(Q-OnJg*uyYao|b%a0UI6ZD&=MR2ak;Sp`C16S{wD^!g zPl3$X8SDoZBLpKsUV()qtd0=Q)ZUGhPK={?I>xSE2=DvCdNr7*-AFG`5X&~z^M{N@w0BY2#Y3kpC!m}LCyvQl1J!yfIzquqCRs&AG^-O#hl1;h9 zF&hT9?08O5HOzcuG$M{z_>29gma??y-r`(}xN#pf@jtWak3k6aN8rDzPRzh=zkSH1 zFU&J&zDqYS;5$3fPgDSD9vmrUe|dpurkcReDQ3F&ctVN-H?CQnKmSSr-X*?0)>lye zsyf=Ymf-?|KeEJj_ReX`a2Y<_u!$$3K$`#gddR*(1yU_)mnP*Y&5>W}J8op=LT5|^ z0WH?*QseKHQtKU&O<=``68Mxb-oO&6#EA#?Kp{KHXyemN*ym~LCuB(;uI6bApM>M= zaJK_I+-xc84P4JMv{Ni#d;~yMQLrOkA@Hj;%u~V;s6bMlSh5vNvXvNj|9#m5S65CI zy17Yn->{l0F7hPDIk?u{uP!eAEUWb+W1sk{x%YbalJPKM!Wgde|i0eqPmy1`or+rB(`hugrh`xtH<9;UN(S|6O5QFSM)v^N_dP; z$i5hQa_^n2h-^T2$9*ADa>3oufe4l7lutP~yzeS5tD6Y2J?9i9!(8GjI($hvK-RG; zZnDLwaLhQ;kTTHFjrz7{{eaLqd!2oRSrze_7Z!v=MGW0_F760F&|)fJ=rJ3(=}1DT zLYZxDx@ffHvs;M`PN6+dkxOc}W>uArMPZrz@tP$af#Ior=lqk0fdQEmY^@uqXhBa! z6&F{uzHeBmKS_SG%B@iu_3%mZTT4Vm-qF{A-NGg;_dIP>3)!<&jpq6tN)sqH-i^Oh zraIDvoWi)`xzyN;4oK-)U~+h`v_-L1Wk!8MXFb6gNTWazIZ>@@mSzjnW?SZYu)ASl zJ5FB5_{2$^Ld6MqPoio_CWj!pBw^V2!jIIlS)~w|jWQB9ouz!q$ZX8k!6b|lt0a9J zqhmx=e7>DvvV;9KTe_J*F+N#lZ#S+P7gxL)h^=T{G0Nkp)YZNBYoe4yeXZ=leEi$% z`}Gqd25J4W$Tc$iAoY^g&j_z~yyWp_#Jf^Ek69&O>8OLldQbRw_m>DO@-epk{PC8DPY7i>_~7%B?E-rv$_jCI9D>ghNsvXhkH6)e z31t|hy~K^M-dkHAAXakb9BX122y^`8_lyzTq$24kN=C5H#etrRAbaq{m`99obFnZ9*M_N35W}%vi0sYo8W(-h-{v-6UdloWe=3}A3_AOqIK<;Yc+SOFiqi4p zRH+Pqb3L<;#k2f=d$nnNBc||EQrG2a%CIlSX5*u#AbAoGkLno+-wiuNl zB0rXo?4E}lxRjUL2G3gdiVUG&=}xtbI=vJscbkgk5N7kUHO?s(uOgHx*#qwSJrn!qb%T~s6Ry1# zCl-6e^*Xyfi!@Jj2i&wISfkKbRrsB}&})Pv_0ytPIa8p?q7XC$QWDo?Q++R@LMN=F z43))i>IHjeuGGTA=>1fO`qaXX581d|!VnMDpmd}0j(iW&1(5*nMjTQ0d@Rxh>VO{~a6~!sRaiDEWlpNapUoi~5sg42 zb`!*(%_4sx8mW%hO%=b$!}-XUPeHQKh}lo1%e2;q7sBDIY>+1WORCR{Y|WJC7T`M#Am2;Ik^OOFI0zZ?x>P4`n zi zpONI9ws7ht7a--B!H zv*%WGEJhXW0?#ha0@KG46iWBQ7T5(Y-+a91j3K)mMyr9*lp(uZMmvGg5QdOlYO&8K z;&GBEX%stw1Im~te3-3d*upNzSo3%1V4Imj%F-xS0tXB+GyN&H12cLkN1W5~B#;CG zN1q1aNyrKWG8!%f4#;6{u*nD6PhwQ83fzAlX7d`?lrb|H>Lg8QDx+YW$cnA9^f0u^0FdM7bxn2 z%(kaX7x>Wyx&J_rF3=bF-hHo(e6fOE(kxd4*8(FD$mG?4*8(u02W5B?Rp6F4_01?I z8Q>P_r>S@?cLf3uPC1m316Hv67B*9{gF7i-ck%I4q9;i5r$Q(;1KAZZ7o>b&qp$kem9QC0^atPVqUdQAWmF!S-GH zDnO|iJDf!*_65bH0xUt$*o3lhUm#GzHxsV~Umy_J9?%881&latQ$|LTy9@Ib`r^Bq zZ_>%^Ipm+mg0<~{|Go}Hf`QS+g@LK=O8`|N{Y*3vp9*6CnP{LR6@-41F=8wY^a|}K z&A9-Se3O{~vlv8%_>;z3335UI`A{*UO{en?91M&nDs&+YL<=>l1R+#UHiD3Trkz=A z1wBTA?)HJ;p&Gp)S&+9aG_@CW@solePm}bv37XvpWK>X#j#~&KhqCsAz(0B5w{drq zFaSeMF<@Y5Vg7z{{C8piC>b=QA4GW9+g4pWgMtA@nhXXe*$4)P?k^A~$6qYzCvv(U zM08`qMHdJi8~+am8)jR)t16D;BO#P=0K@>gsEULAMgnyl0P%r%>H#`6v}XW>eq+#M zc(W8d8lZ^x|1HL)0e})fF$O^*pzcNBHwn~o5JYfOq~9Qj1SGHwC?Eluq z2pcx8><}M{jt7emJstg3c_`8dhy*T;85Zip21^Fr_zXe^atc9JMnGT?2?x|+1VnRF zm!Ay`_~O^+x`ERLq0Q?+mWu5zz_r^WAWYEAFUoc5A?Ak)upoRmz$eJaFxLr1o?vwk z_5pcp@DN~N1b^!RzH|I3g>Dr6%vj_x3gj;O8CI`HK_sxU(DD(`-CxO!Mu8~2W`SKM zzJw3~Sv)2HT_XSJY7x7oOK}Wz??&LC1V{e}@JRd-V1lZQ|8AF!F~AY^Qh#{J&_-EU zs#^e~aR7iVdkcUk4@>hG0KUf+<3|P9xDD7S`cIK9a<`;NCVn+BSd%M2ZUkr`O+Y~6 zAA$Rdw*-I&!h$ME!(!el3r9-c|2luu|Ci;ed|Ol%mKcgP`MZwSK7}$(0*>2zc!Rq8 z7#7HjG6`aY_D%q9_<6~r0ZRZKm<7Ujd=jW~i~21n(G*A=^g`~;sJJ%{p~K$x;da}J=|{q*J-c*uTKzc ze{JppT;2;00|TUf`SZ!~Z@47}mHh%DzKP?ckzh<7z|pusI-P$5f86tLInYR7pz+8S ze><9U91qr$F;_8zgs-f zgn!rD$B?aA*dKd%idgBmes1!HqJE4FIcN@JAW= zmRZlPd0z`}cxmN8K>2R{uFiM`EH?V@K+5sgD!xHsR03}QTQQYQK!Zu?4UgzO!27GA z?wS`;e#5)k1ZJF{^UUAWZ2#?Ul@&KwAWs|NUp?Tz$=d!q$(_8r5dbo`;olN~d-tdb z1dITqK!1?59)6G10jdb?SQbs>MYh^U;8(pPnosd0MhqB82{I&aCU({ReTEp_3Ht~YhW11hWhOSKB(;c zD-G@31u@+?qQ8{DwiU2v8(27s{nJe4J+}nJ_I~vT@FuaNCj=0<2MGK-`wsT~5n#Cq zyMaBR;G)4lWDF=|9Ox21jS|@hcsQfCctQKW26^ySM&A2gz?l1h|0Mr0N@x6*0Pq0n z%_0iCs$$gR1{ie#wCaO@1cE1S2^bxK9)L`y|4^`@69*uw8(S|AfEmgh+Pn{9f$}ZF zlHOS9{2j3JYVMB&2DIiokaP@d{uTvjXap$x;jhsC=`ieje~h>eCcK4fI1m_zAl$px zOE%7@k-?&Xy_A5x{C}X=!KwWhiU?i%qZIuJuw!%Sj~Ya%^3ku=Fe%qGe9E=5D`0uU z`HwOUX!9X3%oGB`H{P~=%XmTtK!X72zthp;+AZCsJHRA%e)xM7_!)xy$3O_GZ9WZWr0+!ZjS8Bl)zAItB< zK!GfU$~PVg9^)mv55Oz|nD9R^T)1l(6Eyh@7?ysn;~UO^(uxw#fWm-0e7EcX|4qjC z--Uo8@{JZCGvCd&@n(Sexyyh@yXH|qv2Z~Dzsn%fwXWI$`XeLIkpJ#7>k1#P)jak7#R6~YH5RWBfv%o^uxcq2DVE;StWysKH zK>XY)E%_P%c>YfXp#5Y()c;wNgZmEdX3zjd?g948{!^qD=`AVwD-i2V>pr~#G|rRV z;x%4@*lu|H01rxe1!91f(gK6lA3GCF;YdLA)VE}g=z;nAj}<=~J7EeA6E2Dt1ijA= z$WHWvu*v#F$3(n=I|2`YLG|B$+4CD0%?v2AgN3`qDlMo>r-=E0=PegLGVxk0T`EHU_JnUU}+ebng*bHF#iLOe530C diff --git a/every.tri b/every.tri new file mode 100644 index 0000000000000000000000000000000000000000..1d7f5012925c92fe11503ad858cabaf71e9b2647 GIT binary patch literal 4992 zcmbuC%Z^Q95QTTUr`;3c&Jm0ZL=YyDWt~;Z41H(`@bb8}vEz zSEA4KUnRUwi%(>|R^r|5)28{KKk?FjDgJ2>Kirm@|A9`C@zZ~g^m(2W!l~a`{QngF zwEn+KyxWCuW5Mv}`N%c^y;;;Fi zFZ`r8E`R!q_&oHl)*l^0uIQ5Qj_|CO1)GX_W)9At{5yn`?~3pwdzsAVt55i{o}+@D zv+tK}(!1a2E*E>jc4pgpboK!|bkDRhe||ruea-LX66|Ht=Lg?P z@_SL@@%tz1OAip{jXu&J#MrStkF{RcWNRDzhU&$0``7c_lWwX0*pWZd@^#+_zW=Su zd9T0s$S>#pL(A5kSA3s@vp#o)Grv)%_x$DiJS+dNEAZ$40Kaei{%YIQ{}Ioc{DH@F`F5W+9t+6F|o4#pM??g&!dI&V4wcP z&H8-l9H#LfcV77Yeo+f-d4_=LPx{k8g!q0=z6j3_YUTF_{uRTEErM_QKNU{>p#go? zhx-e0J@#s!dbkklPidce_t*M;t@VQa>0-~B(;xoC$%n0L1V8)zoxMKVoQ`$ae$(=u zSq5Ls;|%#YM{S!M9iQ{zK1}`90ezmoexJCPS>NQVdg_f}&)m>|_Qu4D_hIIUaPnOj z&U|YA_b2EN59pIG=Z)D$$3n>QwN~ac5>9{eL9iY2|ET9_ZyWcc#;@WM literal 0 HcmV?d00001 diff --git a/loopwhile.tam b/loopwhile.tam new file mode 100644 index 0000000000000000000000000000000000000000..7091eacc92a243acfe992b2e2fdb2624b2f50e78 GIT binary patch literal 80 mcmZQzVBlgv07f8_8Nmg!Sb!u5gXBPf8$y9ekQhG_p8)^|+5j^E literal 0 HcmV?d00001 diff --git a/programs/checkstats.tri b/programs/checkstats.tri index 1cf7cfe..3ebfe70 100644 --- a/programs/checkstats.tri +++ b/programs/checkstats.tri @@ -2,17 +2,11 @@ let var a : Integer; var b : Integer; var c : Integer; - var x : Char; var y : Char in { - a := 1; - b := 2; - a**; - + a := 1; b := 2; a**; b := 100; - - x := 'A'; - y := 'Z'; + x := 'A'; y := 'Z'; } diff --git a/programs/loopwhile.tri b/programs/loopwhile.tri new file mode 100644 index 0000000..3f6f2fc --- /dev/null +++ b/programs/loopwhile.tri @@ -0,0 +1,16 @@ +! print out ababababa + +let + var a : Integer +in +begin + a := 0; + loop + begin + put('a'); + a := a + 1; + end + while a < 5 do + put('b'); + +end